M. C. R. Leibovici and T. K. Gaylord

Vol. 31, No. 7 / July 2014 / J. Opt. Soc. Am. A

1515

Custom-modified three-dimensional periodic microstructures by pattern-integrated interference lithography Matthieu C. R. Leibovici* and Thomas K. Gaylord Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Drive NW, Atlanta, Georgia 30332-0250, USA *Corresponding author: [email protected] Received March 27, 2014; accepted May 11, 2014; posted May 16, 2014 (Doc. ID 209021); published June 17, 2014 By combining interference lithography and projection photolithography concurrently, pattern-integrated interference lithography (PIIL) enables the wafer-scale, rapid, and single-exposure fabrication of multidimensional periodic microstructures that integrate arbitrary functional elements. To date, two-dimensional PIIL has been simulated and experimentally demonstrated. In this paper, we report new simulated results of PIIL exposures for various custom-modified three-dimensional (3D) periodic structures. These results were generated using custom PIIL comprehensive vector modeling. Simulations include mask-integrated and mask-shaped 3D periodic arrangements as well as microcavities on top of or fully embedded within 3D periodic structures. These results indicate PIIL is a viable method for making versatile 3D periodic microstructures. © 2014 Optical Society of America OCIS codes: (110.6895) Three-dimensional lithography; (110.3960) Microlithography; (260.3160) Interference; (140.3945) Microcavities; (220.4000) Microstructure fabrication; (160.5335) Photosensitive materials. http://dx.doi.org/10.1364/JOSAA.31.001515

1. INTRODUCTION Three-dimensional (3D) periodic structures at the micro- and nano-scale have recently found numerous multifaceted applications. In photonics, 3D periodic arrangements of dielectric materials with different refractive indices can exhibit photonic bandgaps and allow the control of light propagation at the wavelength scale [1]. In bioengineering, 3D microscaffolds are used to grow tissue and understand the influence of the extra-cellular matrix on cell proliferation [2]. In microfluidics, 3D periodic structures can be used for passive mixing [3]. Over the past few decades, numerous methods have been developed to fabricate such complex 3D periodic microstructures. Existing techniques include self-assembly, multibeaminterference-lithography (MBIL), and construction-based approaches [4,5]. Self-assembly and MBIL are rapid and cost-effective methods for producing large volumes of 3D defect-free microstructures. Yet, integrating arbitrary functional elements, such as waveguides in a photonic crystal, typically requires additional processing steps, leading to increased capital equipment, risks of contamination, sample deterioration, and misalignment. Construction-based techniques such as photolithography and direct laser writing can produce complex 3D microstructures with embedded functional elements with a single tool. However, these serial point-by-point or layer-by-layer methods are prone to misalignment issues or intrinsic defects and can become significantly time-consuming in fabricating large volumes. To address these shortcomings, the authors proposed that pattern-integrated interference lithography (PIIL) may be an improvement. As the concurrent combination of MBIL and projection photolithography, PIIL enables the rapid, 1084-7529/14/071515-05$15.00/0

single-exposure, and wafer-scale fabrication of multidimensional periodic structures that embed functional elements at the micro- and nano-scale. In principle, all five twodimensional (2D) and all fourteen 3D Bravais lattices are achievable [6,7]. To date, reports of simulation and experimental results of PIIL have been limited to 2D structures [8–13]. In this work, new simulation results predicting PIIL capabilities in fabricating custom-modified 3D periodic structures are presented.

2. THREE-DIMENSIONAL PATTERNINTEGRATED INTERFERENCE LITHOGRAPHY CONCEPT The PIIL concept is illustrated in Fig. 1(a). In a 7f optical system, multiple laser beams with free-space wavelength λ generated from a common source are focused, collimated, focused, and collimated while they propagate through the optical system. Upstream of the optical system, the laser beams are individually adjusted in amplitude and polarization using half-wave plates and beam-splitter cubes to produce a controlled periodic interference pattern at the sample plane, where the collimated beams overlap [8]. The lattice symmetry and periodicity of the interference pattern are determined by the amplitudes, polarizations, and common angle of incidence θ of the beams at the sample plane [14]. With the (3  1)-beam configuration shown in Fig. 1(b), three radially polarized side beams symmetrically arranged around a circularly polarized central beam can produce a 3D bicontinuous face-centeredcubic-like (fcc-like) interference pattern [15]. With the (4  1)-beam configuration shown in Fig. 1(c), four radially polarized side beams symmetrically arranged around a © 2014 Optical Society of America

1516

J. Opt. Soc. Am. A / Vol. 31, No. 7 / July 2014

M. C. R. Leibovici and T. K. Gaylord

Table 1. Input Polarization 2 × 1 Vectors (T is the Matrix Transpose) (3  1) Beam [Fig. 1(b)]

(4  1) Beam [Fig. 1(c)]  1 i T  1 0 T

Beam 4

 1 i T  1 0 T p T  −1∕2 3∕2  p  −1∕2 − 3∕2 T

Beam 5

n/a

Beam 1 Beam 2 Beam 3

Fig. 1. (a) Example PIIL implementation using a 7f optical system. Multiple laser beams adjusted in polarization and amplitude generate multiple images of a mask at the sample plane (only three beams are represented for clarity). At the sample plane, a 3D interference pattern that integrates the mask features is produced in a single-exposure step. (b) (3  1)- or (c) (4  1)-beam configuration generates an fcc- or woodpile-like 3D periodic structure, respectively. A photoresist film can be used to record lithographically the optical intensity distribution.

circularly polarized central beam can produce a 3D bicontinuous woodpile-like interference pattern [16]. In addition to producing a 3D interference pattern, each laser beam is projecting a demagnified image of a mask located at the object plane of the compound objective lens formed by the objective lenses 1 and 2. Therefore, instead of plane waves, multiple images of the mask are interfering at the sample plane, resulting in a 3D interference pattern that integrates the mask features as illustrated in Figs. 1(b) and 1(c). By recording the optical intensity distribution in a photosensitive material, custom-modified 3D periodic arrangements at the microscale can be fabricated in a rapid, single-exposure step. Relatively large areas can be fabricated by expanding the cross section of the collimated beams at the sample plane. The resulting structure can be used as in [1], inverted through an infiltration step followed by a templateremoval step [17], or even double-inverted through two infiltration/template-removal steps [18].

3. SIMULATED EXPOSURES OF 3D PIIL To simulate the present 3D PIIL exposures, the custom highnumerical-aperture (high-NA) vector volume interference image model recently reported by the authors is used [10]. This modeling combines simultaneously MBIL modeling and photolithography modeling. For the interference of N monochromatic waves, the time average intensity distribution Ir on the sample side is expressed as ! N N X X 1   Ir  ReEi r · Ei r  ReEi r · Ej r ; (1) 2 i1 j>i

 0 −1 T  −1

0 T

0

1 T

where Re is the real part operator and Ei r is the 3 × 1 complex vector electric field created by the ith beam at the rx; y; z point in the photoresist film. Since each laser beam projects an image of the mask at the sample plane, the electric fields Ei r are not plane waves but images calculated using a comprehensive vector theory of high-NA imaging [19]. PIIL exposures are thus rigorously simulated by accounting for the transmission and absorption of z-traveling and −z-traveling waves within the photoresist film, high-NA- and material-based polarization changes, size-limited optics, optical aberrations of the system (but not included in the results presented here), high-NA energy conservation, off-axis imaging, and defocus effects. In the present simulations, the exposure wavelength λ is 363.8 nm. The NA and magnification of the compound objective lens are 0.8 and 0.25, respectively. High-NA optics are required due to the off-axis propagating beams and the large angle of incidence needed. The central beam propagating along the optical axis (z axis) is circularly polarized. The side beams are radially polarized; that is, the polarization of a side beam is linear and lies in its plane of incidence. The 2 × 1 input polarization vectors for the (3  1)- and (4  1)-beam configurations depicted in Figs. 1(b) and 1(c) are listed in Table 1. SU-8 is used as a negative-tone photosensitive material with complex refractive index nSU−8  1.67 − i6 × 10−5 and is coated on a glass substrate with refractive index nsub  1.47. SU-8 is chosen for its low absorption at 363.8 nm, sub-100-nm resolution capability, and suitability for the fabrication of thick microstructures [20]. The period along the z axis Λz is calculated as Λz 

λ∕nSU−8 ; 2 sin2 sin−1 sin θ∕nSU−8 ∕2

(2)

while the periods in the xy plane for the (3  1)- and (4  1)beam configurations are expressed, respectively, as p 41 Λ31  2λ∕ 3 sin θ Λxy  λ∕ sin θ: xy

(3)

For the (3  1)- and (4  1)-beam configuration, θ equals 24.84° and 21.33°, resulting in a 6.78 and 9.07 μm period along the z axis, respectively. In the xy plane, both beam configurations generate a common 1 μm period. The image-side plane of best focus is located at the z  0 μm plane in air. A. Mask-Integrated 3D Periodic Structures In a first example, a light-field mask is used to produce a maskintegrated 3D interference pattern. As depicted in Fig. 2, the light-blocking mask element is a simplified version of the Georgia Institute of Technology logo. The logo is demagnified at the image plane. The 12-μm-wide smallest mask features are

M. C. R. Leibovici and T. K. Gaylord

Vol. 31, No. 7 / July 2014 / J. Opt. Soc. Am. A

1517

B. Mask-Shaped 3D Periodic Structures Alternatively, dark-field masks can be used to produce maskshaped 3D periodic structures by PIIL. For this example, the exposure parameters are identical to those of Section 3.A, yet the logo is now used as a light-transparent mask element as depicted in Fig. 3. Simulation results for the (3  1)- and (4  1)-beam configurations illustrated in Figs. 3(a) and 3(b), respectively, show the successful creation of 3D bicontinuous microstructures shaped like the mask pattern. At the top and bottom of the photoresist, edge diffraction effects are confined to the exposed areas and exacerbated by defocus and off-axis imaging effects, resulting in a perturbed lattice. The lattice is not periodic; however, the bicontinuous fcc- and woodpile-like structures are reasonably well reproduced. Potential applications for this capability include complex microfluidic structures for particle filtering, diagnostics, and passive mixing. C. Microcavity on Top of 3D Periodic Structures A third example of 3D PIIL capabilities consists in integrating a microcavity on top of 3D periodic microstructures. This

Fig. 2. Simulated PIIL exposures using a light-field mask and (a) (3  1)- or (b) (4  1)-beam configuration. The isometric views show the satisfactory creation of the mask-integrated 3D interference patterns within the SU-8 film. The insets show close-up views of the selected volumes located a few periods away from the logo. The intensity threshold is 50% of the maximum intensity produced with a blank mask.

demagnified to 3 μm on the image side. The SU-8 film has a thickness of 10 μm corresponding to 1.48 and 1.1 periods along the z axis for the fcc- and woodpile-like configurations, respectively. The surface of the photoresist film is located at z  −5 μm∕nSU−8  −3 μm so that the OL2 focal plane lies in the center of the film. Simulation results for the (3  1)- and (4  1)-beam configurations depicted in Figs. 2(a) and 2(b), respectively, show excellent integration of the logo within the 3D periodic lattice. In the close proximity to the logo, the interference pattern is slightly perturbed due to edge diffraction, defocus, and off-axis imaging effects. However, the 3D lattice becomes remarkably unperturbed only a few periods away from the logo. Unperturbed structures are shown in the close-up views of the selected volumes illustrated in the Figs. 2(a) and 2(b) insets. For practical applications, the integrated mask features could be a network of microfluidics channels within a 3D bioscaffold or a waveguide within a 3D photonic crystal.

Fig. 3. Simulated PIIL exposures using a dark-field mask and (a) (3  1)- or (b) (4  1)-beam configuration. The isometric views show the satisfactory fabrication of the mask-shaped 3D interference patterns within the SU-8 film. The close-up views of the selected volumes show a relatively good reconstruction of the bicontinuous lattices. The intensity threshold is 50% of the maximum intensity produced with a blank mask.

1518

J. Opt. Soc. Am. A / Vol. 31, No. 7 / July 2014

process requires that the photoresist surface be put at z  0 μm. An 8-μm-diameter disc demagnified to 2 μm at the image plane is now used as the mask element. At the photoresist surface, the images of the disc are in focus and superposed creating a hole in the interference pattern. As the images are propagating obliquely in the photoresist, they are progressively separating and becoming defocused. If the photoresist film is thick enough, the 3D lattice starts to be reconstructed below the microcavity by interference of the out-of-focus and nonoverlapping images of the disc. This capability of PIIL is illustrated for the (3  1)- and (4  1)beam configurations in Figs. 4(a) and 4(b), respectively. For this example, the SU-8 film has a 20 μm thickness. The exploded isometric views show the microcavity created on top of the 3D periodic microstructures. The side views of the central slice (x  0 μm) depict the interference pattern being rebuilt below the microcavity. Although strongly perturbed immediately below the microcavity, the interference pattern becomes less and less perturbed deeper into the SU-8 film. The off-axis propagation of the images is illustrated with the semitransparent white arrows. The microcavity size is about 2 μm × 7 μm. This aspect ratio is principally a function of the beam incidence angle θ, the size of the mask element, and the exposure dose. Here, the aspect ratio is higher with the (4  1)-beam configuration because of a slightly smaller beam incidence angle θ. For biomedical engineering applications, arrays of 96 microwells embedded on

Fig. 4. Simulated PIIL exposures using (a) (3  1)- or (b) (4  1)beam configuration. A microcavity is created on top of the 3D periodic structures. Side views of the central slice (x  0 μm) depict the interference pattern being reproduced below the microcavity. The intensity threshold is 35% of the maximum intensity produced with a blank mask.

M. C. R. Leibovici and T. K. Gaylord

top of 3D bicontinuous periodic structures could be used for particle trapping. Alternatively, the cavity can be located at the bottom of the photoresist film by placing the plane of best focus at the surface of the substrate. D. Fully Embedded Microcavity A fourth example consists in embedding a microcavity entirely within a 3D periodic structure. This case is similar to that of Section 3.C, yet the OL2 focal plane is located at the center of the photoresist film to allow the interference pattern to be reproduced above and below the microcavity. In this example, the SU-8 film has a thickness of 50 μm and its surface is put at z  −25 μm∕nSU−8  −15 μm. Simulation results for the (3  1)- and (4  1)-beam configurations are

Fig. 5. Simulated PIIL exposures using (a) (3  1)- or (b) (4  1)beam configuration. A microcavity is successfully created within the 3D periodic structure. Above and below the plane of best focus (z  10 μm), the 3D interference pattern is progressively reproduced by interference of the out-of-focus and nonoverlapping mask images. Top views of the plane of best focus depict the locally mask-integrated interference pattern. The intensity threshold is 35% of the maximum intensity produced with a blank mask.

M. C. R. Leibovici and T. K. Gaylord

shown in Figs. 5(a) and 5(b), respectively. The mask pattern used for both beam configurations is identical to that of Section 3.C and is depicted in Fig. 4. At the plane of best focus, the projected disc is integrated within the interference pattern by creating a hole as illustrated in the close-up views of the z  10 μm slices. Close to the surface (z  −15 μm) and the bottom (z  −35 μm) of the SU-8 film, however, the defocused and nonoverlapping images of the disc interfere, leading to a reconstruction of the 3D interference pattern. Similar to Section 3.C’s conclusions, the microcavities have a high aspect ratio (about 2 μm × 15 μm) that depends mainly on the beam incidence angle, the size of the mask element, and the exposure dose. Such a microcavity could be used, for example, for particle encapsulation or a high-Q optical resonator. To the best of the authors’ knowledge, there is no existing fabrication technique able to produce such a 3D periodic microstructure in a rapid and single-exposure step.

4. SUMMARY AND DISCUSSION By combining simultaneously MBIL and projection photolithography, PIIL enables the rapid, single-step, and wafer-scale fabrication of custom-modified 3D periodic structures at the micro- and nano-scale and thus addresses a number of shortcomings of current microfabrication techniques. Through simulations, we have shown the noteworthy potential of PIIL in fabricating custom-modified 3D periodic microstructures. By thoughtful mask design and photoresist-film surface positioning, PIIL capabilities range from integrating or shaping 3D arrangements using a light- or dark-field mask to producing microcavities on top of, below, or fully embedded within 3D periodic microstructures. Present PIIL capabilities can be scaled down to the submicroscale by judiciously adjusting the exposure wavelength λ, the beam incidence angle θ, and the NA of the objective lens 2 that define the lattice constant and imaging resolution limit. Positive photoresist can also be used to create inverted structures of the present examples. In addition, no attempt has been made to mitigate the perturbations on the interference pattern produced by edge diffraction effects through mask optimization or Fourier filtering. The mask could also be a 3D binary, grayscale, or complex mask or a phase mask generated by a spatial light modulator that would create 3D functional elements within the 3D interference pattern. The new and unexplored field of mask optimization for 3D PIIL requires more development. Experimentally, 3D PIIL would require the precise alignment of the interfering/imaging beams and an accurate positioning of the sample plane, which can be addressed with both state-of-the-art computer controlled micropositioners and real-time monitoring. By extending MBIL capabilities, this new PIIL paradigm is expected to have a significant impact in the rapid and cost-effective fabrication of complex 3D periodic microstructures for photonics, biomedical engineering, and microfluidics.

ACKNOWLEDGMENT This work was supported in part by grant no. ECCS-0925119 from the National Science Foundation.

Vol. 31, No. 7 / July 2014 / J. Opt. Soc. Am. A

1519

REFERENCES 1. Y. V. Miklyaev, D. C. Meisel, A. Blanco, G. von Freymann, K. Busch, W. Koch, C. Enkrich, M. Deubel, and M. Wegener, “Three-dimensional face-centered-cubic photonic crystal templates by laser holography: fabrication, optical characterization, and band-structure calculations,” Appl. Phys. Lett. 82, 1284–1286 (2003). 2. L.-H. Han, G. Mapili, S. Chen, and K. Roy, “Projection microfabrication of three-dimensional scaffolds for tissue engineering,” J. Manuf. Sci. Eng. 130, 021005 (2008). 3. S.-G. Park, S.-K. Lee, J.-H. Moon, and S.-M. Yang, “Holographic fabrication of three-dimensional nanostructures for microfluidic passive mixing,” Lab Chip 9, 3144–3150 (2009). 4. P. V. Braun, S. A. Rinne, and F. Garcia-Santamaria, “Introducing defects in 3D photonic crystals: state of the art,” Adv. Mater. 18, 2665–2678 (2006). 5. J. H. Jang, C. K. Ullal, M. Maldovan, T. Gorishnyy, S. Kooi, C. Y. Koh, and E. L. Thomas, “3D micro- and nanostructures via interference lithography,” Adv. Funct. Mater. 17, 3027–3041 (2007). 6. W. Mao, Y. Zhong, J. Dong, and H. Wang, “Crystallography of two-dimensional photonic lattices formed by holography of three noncoplanar beams,” J. Opt. Soc. Am. B 22, 1085–1091 (2005). 7. L. Z. Cai, X. L. Yang, and Y. R. Wang, “All fourteen Bravais lattices can be formed by interference of four noncoplanar beams,” Opt. Lett. 27, 900–902 (2002). 8. G. M. Burrow, M. C. R. Leibovici, and T. K. Gaylord, “Patternintegrated interference lithography: single-exposure fabrication of photonic-crystal structures,” Appl. Opt. 51, 4028–4041 (2012). 9. T. K. Gaylord, M. C. R. Leibovici, and G. M. Burrow, “Patternintegrated interference [Invited],” Appl. Opt. 52, 61–72 (2013). 10. M. C. R. Leibovici and T. K. Gaylord, “Pattern-integrated interference lithography: vector modeling and 1D, 2D, and 3D device structures,” J. Vac. Sci. Technol. B 31, 06F501 (2013). 11. M. C. R. Leibovici, G. M. Burrow, and T. K. Gaylord, “Patternintegrated interference lithography: prospects for nano- and microelectronics,” Opt. Express 20, 23643–23652 (2012). 12. G. M. Burrow, M. C. R. Leibovici, J. W. Kummer, and T. K. Gaylord, “Pattern-integrated interference lithography instrumentation,” Rev. Sci. Instrum. 83, 063707 (2012). 13. D. E. Sedivy and T. K. Gaylord, “Modeling of multiple-opticalaxis pattern-integrated interference lithography systems [Invited],” Appl. Opt. 53, D12–D20 (2014). 14. J. L. Stay and T. K. Gaylord, “Three-beam-interference lithography: contrast and crystallography,” Appl. Opt. 47, 3221–3230 (2008). 15. R. C. Rumpf and E. G. Johnson, “Fully three-dimensional modeling of the fabrication and behavior of photonic crystals formed by holographic lithography,” J. Opt. Soc. Am. A 21, 1703–1713 (2004). 16. W. Y. Tam, “Woodpile and diamond structures by optical interference holography,” J. Opt. A 9, 1076–1081 (2007). 17. J. S. King, E. Graugnard, O. M. Roche, D. N. Sharp, J. Scrimgeour, R. G. Denning, A. J. Turberfield, and C. J. Summers, “Infiltration and inversion of holographically defined polymer photonic crystal templates by atomic layer deposition,” Adv. Mater. 18, 1561–1565 (2006). 18. N. Tetreault, G. Von Freymann, M. Deubel, M. Hermatschweiler, F. Perez-Willard, S. John, M. Wegener, and G. A. Ozin, “New route to three-dimensional photonic bandgap materials: silicon double inversion of polymer templates,” Adv. Mater. 18, 457–460 (2006). 19. D. G. Flagello, T. Milster, and A. E. Rosenbluth, “Theory of highNA imaging in homogeneous thin films,” J. Opt. Soc. Am. A 13, 53–64 (1996). 20. K. Y. Lee, N. LaBianca, S. A. Rishton, S. Zolgharnain, J. D. Gelorme, J. Shaw, and T. H. P. Chang, “Micromachining applications of a high resolution ultrathick photoresist,” J. Vac. Sci. Technol. B 13, 3012–3016 (1995).

Custom-modified three-dimensional periodic microstructures by pattern-integrated interference lithography.

By combining interference lithography and projection photolithography concurrently, pattern-integrated interference lithography (PIIL) enables the waf...
949KB Sizes 1 Downloads 5 Views