Home

Search

Collections

Journals

About

Contact us

My IOPscience

Evolution of lateral ordering in symmetric block copolymer thin films upon rapid thermal processing

This content has been downloaded from IOPscience. Please scroll down to see the full text. 2014 Nanotechnology 25 275601 (http://iopscience.iop.org/0957-4484/25/27/275601) View the table of contents for this issue, or go to the journal homepage for more

Download details: IP Address: 193.255.248.150 This content was downloaded on 21/01/2015 at 09:25

Please note that terms and conditions apply.

Nanotechnology Nanotechnology 25 (2014) 275601 (10pp)

doi:10.1088/0957-4484/25/27/275601

Evolution of lateral ordering in symmetric block copolymer thin films upon rapid thermal processing Monica Ceresoli1,2, Federico Ferrarese Lupi1, Gabriele Seguini1, Katia Sparnacci3, Valentina Gianotti3, Diego Antonioli3, Michele Laus3, Luca Boarino4 and Michele Perego1 1

Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, Agrate Brianza 20864, Italy Dipartimento di Fisica, Università degli Studi di Milano, Via Celoria 16, Milano 20133, Italy 3 Dipartimento di Scienze e Innovazione Tecnologica (DISIT), Viale T. Michel 11, Università del Piemonte Orientale ‘‘A. Avogadro’’, INSTM, UdR Alessandria, Alessandria 15121, Italy 4 Nanofacility Piemonte, Electromagnetism Division, Istituto Nazionale di Ricerca Metrologica Strada delle Cacce 91, Torino 10135, Italy 2

E-mail: [email protected] and [email protected] Received 21 January 2014, revised 24 March 2014 Accepted for publication 15 April 2014 Published 24 June 2014 Abstract

This work reports experimental findings about the evolution of lateral ordering of lamellar microdomains in symmetric PS-b-PMMA thin films on featureless substrates. Phase separation and microdomain evolution are explored in a rather wide range of temperatures (190–340 °C) using a rapid thermal processing (RTP) system. The maximum processing temperature that enables the ordering of block copolymers without introducing any significant degradation of macromolecules is identified. The reported results clearly indicate that the range of accessible temperatures in the processing of these self-assembling materials is mainly limited by the thermal instability of the grafted random copolymer layer, which starts to degrade at T > 300 °C, inducing detachment of the block copolymer thin film. For T ⩽ 290 °C, clear dependence of correlation length (ξ) values on temperature is observed. The highest level of lateral order achievable in the current system in a quasi-equilibrium condition was obtained at the upper processing temperature limit after an annealing time as short as 60 s. S Online supplementary data available from stacks.iop.org/NANO/25/275601/mmedia Keywords: block copolymers, thermal stability, self-assembly, polystyrene-b-poly(methylmethacrylate), ordering (Some figures may appear in colour only in the online journal) 1. Introduction

generation, through parallel processing, of a diversity of nanoscopically organized patterns, with different geometries, featuring sizes in the range of 5 to 50 nm. Their integration into existing lithographic manufacturing processes as masks for subsequent additive or subtractive nanofabrication strategies of the underlying substrate may provide a substantial technological breakthrough in the production of nanostructured surfaces with molecular-level resolution. Lamellarphase-forming block copolymers may be employed for generating striped patterns, thus delineating the channel array

The fabrication of patterned polymeric nanostructures through technologies based on self-assembling (SA) materials [1] is gaining increasing interest because of their potential application in the production of integrated circuits and functional nanoscale devices and for optical components such as gratings and photonic band gap materials. In this context, block copolymers (BCPs) hold a special place [2, 3] because their thin film morphologies offer ideal platforms for the 0957-4484/14/275601+10$33.00

1

© 2014 IOP Publishing Ltd Printed in the UK

Nanotechnology 25 (2014) 275601

M Ceresoli et al

macromolecules. In understanding the temperature dependence of pattern formation in lamellar-phase PS-b-PMMA, we found that the specific thermal treatment used in this work raises the lateral correlation lengths in symmetric block copolymer thin films to values significantly higher than those reported in the literature [7, 8].

elements of multinanowire transistor design [4, 5]. Several papers have addressed this topic [6–8], dealing with basically employing polystyrene-b-poly(methylmethacrylate) (PS-bPMMA) due to both the availability of a wide variety of samples differing in their molar mass and composition and the ease of etching the PMMA block. Thermal treatments are usually employed to promote the phase separation, perpendicular orientation, and lateral ordering of the microdomains in the polymeric film [9, 10]. It was generally found [8, 11] that orientational correlation length in thin films with perpendicularly oriented lamellar morphology subjected to conventional thermal treatments evolves much more slowly with time and temperature than in cylindrical films. This characteristic significantly limits the practical exploitation of such thin films. Several methods were introduced for controlling the local defectivity of self-assembled polymer patterns through appropriate substrate design, including engineering surface topography [6, 12] as well as multilayered induced ordering approaches [7]. In addition, several nanofabrication processes were explored such as solvent [13–15] and microwave [16] annealing acting directly on the kinetics of the system in order to increase BCP mobility and achieve high correlation length values, i.e., low density of defects in symmetric block copolymer thin films. In this regard, understanding the self-assembly characteristics of block copolymers on featureless substrates may provide essential information to enable their industrial exploitation. In this context, we recently proposed [17, 18] a technological approach involving rapid thermal processing (RTP) technology. With respect to conventional treatments, in which heat transfer is governed by conduction or convection mechanisms, the radiative energy source of the RTP allows extremely high heating rates (up to 50 °C s−1) to be obtained, coupled with precise control over the heat up ramp, the subsequent steady state, and the final cooling process. This technology does not require the assistance of solvent aside from the one naturally entrapped in the polymeric film after the spinning process, thus allowing RTP to be easily integrated into the standard lithographic workflow. On the one hand, RTP processing was shown to be extremely efficient [19] in performing a fast grafting reaction leading to the formation of a random copolymer layer sufficiently thick to induce the perpendicular ordering of BCP microdomains. On the other hand, RTP treatment of BCP films [17] for a short time at 250 °C led to well-ordered structural organizations with perpendicular orientation of cylinder or lamellar microdomains. These preliminary results suggest that RTP-based technology may represent the key to process block copolymer thin films on flat substrates using short annealing times and relatively high annealing temperatures. In this study, we focus on microdomain formation and local defectivity dynamics during the very early stages of the block copolymer self-assembling process in symmetric thin films of PS-b-PMMA. We explore the evolution of the lateral ordering of lamellar microdomains in a rather wide range of processing temperatures and identify the maximum temperature that enables the self-assembly of block copolymers without introducing any significant degradation of

2. Experimental details 2.1. Materials

Symmetric PS-b-PMMA block copolymer, marked B50, with styrene volume fraction XS = 0.5, Mn = 51 000 g mol−1 and PDI = 1.06, was acquired at Polymer Source Inc. and used without further purification. Perpendicular orientation [20] of the lamellar domains was assured by surface pretreatment with the hydroxyl end-functionalized random copolymer P(Sr-MMA) chemically grafted onto the surface prior to diblock copolymer application. The specific random copolymer, marked R58, with styrene volume fraction XS = 0.58, Mn = 11 400 g mol−1, PDI = 1.64, was purchased from Polymer Source Inc. and used as received. More details about the processing of these macromolecules and the specific thermal treatments are given in the following section. 2.2. Sample preparation

Substrates were obtained from (100) oriented Si wafers with a 100 nm-thick thermal SiO2 layer. Samples with a surface of 1 cm2 were treated with Piranha solution (H2SO4/H2O2 with 3/1 vol. ratio) at 80 °C for 40 min. In this way, it was possible to remove residual organic material and to increase the density of hydroxyl groups at the surface. The cleaning process of the substrates was completed by rinsing in H2O, drying in N2 flow, and then performing an ultrasonic bath in 2-propanol. Random copolymer R58 (18.0 mg in solution with 2.00 mL of toluene) was spin coated on the substrates for 30 s at 4000 rpm. The samples were then RTP thermally treated for 600 s at 250 °C in an N2 atmosphere to promote the grafting reaction. A further ultrasonic bath in toluene was then performed to remove the non-grafted fraction of R58. After drying under N2 flow, samples were spin coated with a solution of the symmetric B50 copolymer (16.0 mg in 2.00 mL of toluene) to obtain polymeric films with a thickness of about 25 nm, which is very close to the characteristic periodicity (L0) of the lamellar structure of B50. Finally, in order to promote the organization of BCPs, the resulting samples were subjected to RTP treatments at different temperatures as described in the following section. 2.3. Rapid thermal processing treatments

The RTP treatments were performed in a Jipelec, JetFirst Series system. Figure 1 shows the temperature profiles for a short (10 s) or a relatively long (60 s) RTP treatment. The process consists of a three-step treatment (a heating ramp, a plateau, and a cooling ramp) in an N2 atmosphere. The temperature of the sample is constantly monitored by a 2

Nanotechnology 25 (2014) 275601

M Ceresoli et al

Figure 1. Schemes of a typical RTP thermal cycle comprising (a) heating ramp, (b) plateau (here at 260 °C), and (c) cooling ramp. The

heating rate and the cooling ramps were kept constant. The block copolymer annealing times corresponding to the plateaus (shaded regions) were 60 s and 10 s respectively.

thermocouple. To reach the target temperature in the shortest possible time without over- or undershooting, the final temperature was set about 10–20 °C higher than the target one, and as the sample temperature approached the target temperature, the lamp power was progressively reduced. In this way, over- or undershooting no higher than 1 °C was achieved. The cooling process was mainly governed by properly adjusting the N2 flux after the heating and cooling lamps were switched. In all the thermal treatments, the heating ramp was set at 18 °C s−1. R58 grafting treatment was performed at 250 °C for 600 s. B50 annealing was carried out at different temperatures Ta between 190 °C and 340 °C for time period plateaus of 10, 60, and 600 s. For the sake of clarity, we recorded each RTP treatment with the temporal duration of the plateau at the target temperature. Actually, effective annealing time has to take into account the contributions of the heating and cooling ramps. In this regard, it is worth noting that in a previous work [17] we demonstrated that a 10 s RTP treatment at 190 °C determines a clear phase separation in the BCP thin film without promoting any significant degree of lateral order. This suggests that the initial part of the ramp from room temperature up to 190 °C plays no remarkable role in the ordering of the system. For this reason the effective processing time, at target temperatures higher than 190 °C, should take into account the contribution of the heating and cooling ramps, which is evaluated to be around 10 s at the maximum processing temperature.

PMMA phase was selectively removed. The opening of the lamellar patterns was obtained by degrading the PMMA blocks by UV radiation exposure (5 mW cm−2, λ = 253.7 nm) for 15 min. The samples were treated in oxygen plasma for 60 s at 40 W to remove the PMMA phase and to promote the cross-link of the PS chains. The quantitative level of organization in the lamellar thin films was determined by measuring the correlation length (ξ). This measurement indicates the average dimension of an ordered domain constituted by contiguous lamellae that follow a single definite in-plane orientation. Analysis was performed according to the methodology originally proposed by Harrison et al [21]. Several SEM images were analyzed, with appropriate magnification chosen so that the image size was at least 15 times larger than the correlation length. SEM image resolution was properly set to maintain a good nm/pixel ratio. The images were computationally processed in order to evaluate the local orientation field at each point [22]. The autocorrelation function was then calculated with commonly used software for image analysis, and the correlation length was extracted as the distance corresponding to 1/e times the autocorrelation value. The mean correlation lengths, including the standard deviations, were calculated from several SEM images taken from different regions on each sample and from different samples for any given annealing temperature. 2.5. Direct exposure probe analysis

Random and block copolymers were subjected to a direct mass spectrometric analysis by a direct exposure probe (DEP) tool (Thermo Electron Corporation) that permitted rapid heating of the sample deposited on a thin filament hyphenated with a quadrupolar mass spectrometer (Finnigan TRACE DSQ). The filament was a wire of rhenium with a loop at the end inserted in a ceramic base. A solution was prepared by dissolving the relevant copolymer (2.0 mg) in dichloromethane (10.00 mL). A single drop of 3 μL of this solution was deposited on the filament using a calibrated micro-

2.4. Morphological characterization

The thicknesses of the random and block copolymer films were measured by an M-200U spectroscopic ellipsometer (J. A. Wollam Co. Inc.) using a Xenon lamp at a 70° incident angle. The morphological characterization of the self-assembled block-copolymer films was performed by means of scanning electron microscopy (SEM) in a Zeiss Supra 40 system. To improve the contrast in the SEM images, the 3

Nanotechnology 25 (2014) 275601

M Ceresoli et al

magnifications, the evolution of thin films for samples subjected to thermal treatments for 60 s at temperatures between 300 °C and 340 °C. A well-developed lamellar morphology can be observed even in the temperature range between 300 °C (figure 3(m)) and 340 °C (figure 3(p)) without significant differences. However, the observation of larger sample areas reveals the occurrence of some dark spots homogeneously distributed over the entire film (figure 3, second row), indicating the occurrence of some film degradation. At 300 °C, the spots are relatively small but increase in size as the RTP temperature increases up to 330 °C and then start to coalesce at 340 °C. It is interesting to observe the ordering characteristics of the BCPs at the edge of the dark spots (figure 3, third row). At 300 °C, no evidence of reduced ordering is observed in the lamellar polymeric film and the dark spots correspond to a slightly different contrast in the SEM-enlarged image (figure 3(m), third row). On the other hand, in the case of annealing temperatures above 300 °C, the dark spots identify damaged areas with no evidence of polymer organization. The abrupt edge between the ordered block and the damaged area behaves as a confining boundary, thus resulting in an enhanced lateral order extending for about 1 μm. This effect suggests that the dark spots correspond to areas where the polymeric film is missing due to a degradation phenomenon of the polymeric layer as confirmed by measurements with an interferometric profilometer. Figure 4 compares the SEM image (b) with the 3D image (a) and the depth profile (c) obtained with the profilometer relative to a sample treated with RTP for 60 s at 310 °C. These data clearly identify the ‘dark spot’ as holes with a characteristic depth of about 30 nm, which is substantially compatible with the total thickness of the R58 plus B50 layers. Samples submitted to RTP treatments for 10 s exhibit the same phenomenology, but the dark spots appear at a temperature about 20 degrees higher than in case of 60 s of RTP time. PS and PMMA are known [23] to present equivalent wetting tendencies at the surface when annealed at temperatures above 230 °C. The perpendicular orientation of the lamellar film at the boundaries of the damaged areas provides further evidence of the effective neutralization at the free surface in the range of temperatures under investigation. These results rule out any effect related to film dewetting and suggest that polymer degradation could be responsible for the occurrence of unorganized areas in the films. To better understand the origin of the film degradation, the thermal behavior of the R58 and B50 was investigated by mass spectroscopy using the direct exposure probe (DEP) tool, which enables rapid heating of a sample deposited on a filament [24, 25]. The DEP thermal conditions were set to reproduce the temperature profiles employed in the RTP process. In details, the R58 was first heated in the mass spectrometer to 250 °C with a heating rate of 20 °C s−1, maintained at this temperature for 600 s, and then cooled to room temperature. Then the same R58 sample was heated to Ta with a heating rate of 20 °C s−1, maintained at this temperature for 10 s or 60 s, and then cooled to room temperature. Finally, the B50 was heated in the mass spectrometer to the final

syringe of 10 μL in volume. Then the solvent was eliminated by heating the filament for 60 s at 50 °C. The filament temperature was regulated by properly adjusting the electric current flowing in the filament. In the case of experiments performed on the B50 block copolymer, the sample was subjected to a thermal treatment similar to that experienced with RTP during the self-assembly process. In particular, each experiment comprised four steps: i) a conditioning step of 90 s at 25 °C, ii) a heating step in which the temperature increased from 25 °C to the annealing temperature Ta (with Ta between 175 and 400 °C) with a rate of 20 °C s−1, iii) an isothermal step at Ta for 60 s, and finally iv) a cooling step performed by simply switching off the electric current in the filament. At the end of each heating program, a cleaning step was performed at 1000 °C s−1 for 5 s. The random copolymer R58 was subjected to two successive heating treatments in order to simulate the two annealing processes that were performed to graft the random copolymer and subsequently promote the self-assembly of the block copolymer deposited on top of the random copolymer. In the first heating treatment, the sample was conditioned for 90 s at 25 °C, heated to 250 °C at 20 °C s−1, maintained at this temperature for 600 s, and finally cooled by switching off the electric current in the filament. The second treatment was identical to the one to which the B50 was subjected. The mass spectrometric analyses were performed in EI+ mode with an ionization energy of 70.0 eV and ion source temperature of 250 °C. The signal was acquired both in full scan (from 80 to 500 m z−1) and in selected ion monitoring (SIM) mode by acquiring the signal corresponding to the typical m/z values of styrene (S) at 104; of methylmethacrylate (M) at 100; of the dimers MM at 200, MS at 204, and SS at 208; and of the trimer SSS at 312. In addition, the signal characteristic of the TEMPO molecules was registered at 156 m z−1.

3. Results and discussion Figure 2 displays typical SEM images showing the progressive growth of ordered domains during RTP treatment, for 10 s (figure 2, upper row) or 60 s (figure 2, lower row) of annealing time, at different temperatures between 190 °C and 290 °C. After 10 s of thermal treatment, the morphology evolves continuously as the annealing temperature increases, from the homogeneously disordered state at 190 °C (figure 2(a)), passing through the very beginning of the phase separation between PS and PMMA blocks (figures 2(b)–(d)), up to a highly ordered lamellar organization at 290 °C (figure 2(e)). When RTP treatment is performed for 60 s, a better level of organization is observed compared with that obtained by annealing at the same temperature for only 10 s. After 60 s at 190 °C, phase separation has already taken place (figure 2(f)), and the lamellar morphology emerges progressively better resolved over the entire surface of the samples as the annealing temperature is increased to 290 °C. The RTP treatment was subsequently extended at temperatures above 290 °C to identify the maximum allowable processing temperature. Figure 3 reports, at different 4

Nanotechnology 25 (2014) 275601

M Ceresoli et al

Figure 2. SEM images showing morphological evolution as a function of the annealing temperature for symmetric PS-b-PMMA thin film. (a)–(e) Samples treated for 10 s with RTP. (f)–(l) Samples treated for 60 s with RTP.

annealing temperature Ta with a heating rate of 20 °C s−1, maintained at this temperature for 60 s, and then cooled to room temperature. The first heating treatment of R58 should have induced the same thermal stress to which the random copolymer was subjected during the surface grafting process, whereas the second would have mimicked the treatment to which the random copolymer was subjected during the thermal treatment after the deposition of the block copolymer film. The above analysis was repeated with Ta ranging from 175 °C to 400 °C, following the time evolution of the monomers and oligomers during the annealing process. For both copolymers, the major degradation products were the two monomers, indicating the occurrence of depolymerization via an unzipping process [26]. Figure 5 reports the DEP mass profiles of styrene and methylmethacrylate for the R58 and B50 samples during the thermal treatment that reproduced the annealing performed to promote the block copolymer selfassembly at two different Ta. For the sake of clarity only, the signals of styrene and methylmethacrylate are reported, whereas the DEP mass profiles of various oligomers are illustrated in the supplementary data (available at stacks.iop. org/NANO/25/275601/mmedia). At 250 °C, only slight evidence of degradation was detected for the random copolymer R58. The signal found for B50 was even less marked. A different scenario was observed at 325 °C, where the random copolymer DEP mass profile exhibited a strong signature of degradation with intense styrene and methylmethacrylate signals. Conversely, the B50 block copolymer exhibited no significant increment of degradation in respect to the sample treated at 250 °C. The same effect, though with less intensity, can be inferred from the DEP mass profiles of the various oligomers as reproduced in the supplementary data.

To further compare the behavior of R58 and B50, the signals corresponding to the peak area of styrene and methylmethacrylate monomers are reported in figure 6 as a function of the annealing temperature Ta. For B50 after the 60 s of annealing time, the onset of degradation occurs at about 350 °C, as indicated by the upturn of the peak areas versus temperature curves for both styrene (figure 6(a)) and methylmethacrylate (figure 6(b)), whereas for R58 the degradation starts at lower temperatures, namely around 300 °C after 60 s and around 325 °C after 10 s of annealing time. These data indicate that the film degradation starts from the random copolymer layer and produces a detachment of the block copolymer film, resulting in the formation of macroscopic holes that increase in size as the time and temperature increase. These results clearly indicate that the range of accessible temperatures in the processing of these self-assembling materials is mainly limited by the thermal instability of the grafted random copolymer layer. The morphological evolution of the microdomains as a function of temperature was further analyzed by measuring the correlation length at different times and temperatures according to a procedure reported in the literature [21]. The correlation length data are depicted in figure 7. A minimum detectable correlation of about 35 nm was observed, which is very close to the lamellar period (L0 = 28 nm) at 190 °C after 60 s and at 220 °C after 10 s of annealing time. Then the correlation length increases sharply as the temperature increases and finally levels off at roughly 150 nm in the region where degradation phenomena occur. For 60 s of thermal treatment, the correlation length values obtained for annealing temperatures higher than 290 °C are quite widespread. The same effect occurs for 10 s treated samples at 5

Nanotechnology 25 (2014) 275601

M Ceresoli et al

Figure 3. SEM images, at different magnifications, of symmetric PS-b-PMMA thin films treated with RTP for 60 s at temperatures varying from 300 °C to 340 °C.

RTP [17]. For annealing time ta < 300 s, the ξ values were observed to increase according to a first-order exponential dependence with a growth exponent ϕ ∼ 0.29. After 300 s of annealing time, a significant decrease of the growth exponent occurred, with ϕ ∼ 0.05. This observation suggests the occurrence of a quasi-equilibrium condition for prolonged thermal treatments. Because the time to reach this quasiequilibrium state is about 300 s at 250 °C, some of the correlation length values reported in figure 7, taken after 10 s and 60 s of annealing time, do not correspond to the respective quasi-equilibrium state values. Figure 8 reports the plan-view SEM images of B50 block copolymer thin films annealed at different temperatures for 600 s, i.e., a ta value that safely corresponds to a quasi-equilibrium condition for the samples annealed at 250 °C [17], whereas the correlation length ξ values obtained from these images are plotted (closed circles) in figure 9 as a function of the annealing temperature. The correlation lengths measured at 190 °C, 250 °C, and 270 °C after the RTP treatment of 600 s are higher than those

temperatures raised approximately 20 °C. The data scattering observed for the samples treated at the highest temperatures is attributed to the presence of extremely ordered regions close to the degraded areas together with regions featuring reduced order far away from those areas. The curves of the correlation length at different annealing times are shifted along the temperature scale without so much as changing their shape, thus suggesting that the effects of time and temperature are essentially equivalent in rescaling the kinetics of the ordering process. Accordingly, it is possible to obtain, in a very short time, ordered thin films of symmetric block copolymers with correlation length values significantly higher than those previously reported in the literature for samples subjected to conventional thermal treatments in a furnace or oven [8]. Under these conditions, limited time dependence of the correlation length in symmetric block copolymers was reported [7, 27]. However, a different behavior was recently described in B50 block copolymer thin films annealed at 250 °C with 6

Nanotechnology 25 (2014) 275601

M Ceresoli et al

Figure 4. Interferometric profilometer 3D analysis of a sample treated with RTP at 310 °C for 60 s (a) compared with an SEM image taken of the same sample (b). The color range indicates the depth of the film in the z-direction. The depth profile of a small region of a) is also reported (c) to highlight 3 different holes with a characteristic depth of about 30 nm.

obtained after 60 s, thus confirming that the ξ values reported in figure 7 are not representative of the final equilibrium morphology of the system at these temperatures. In particular, in the case of the sample treated at 190 °C, previous results [17] obtained by annealing the sample in a furnace at 190 °C for 32 h returned a slightly higher correlation length with a ξ value of 78 nm. This data suggests that the time necessary to reach the quasi-equilibrium morphology at this temperature could be longer than 600 s. Nevertheless, it indicates that the equilibrium condition at 190 °C is reasonably close to the value reported in figure 9. In contrast, the correlation length obtained at 290 °C after 600 s is equal, within the experimental error, to the one obtained after the 60 s RTP treatment (figure 7), thus demonstrating that the time necessary to reach the quasi-equilibrium organization decreases as the temperature increases and is equal to or lower than 60 s when the annealing temperature is 290 °C. Figure 9 also reports the correlation length values obtained by Ruiz et al [8] for the same block copolymer baked in a vacuum at various temperatures for 100 min For annealing temperatures ranging from 180 °C to 285 °C, the correlation length is observed to slightly change from 42 to 64 nm with limited variation as a function of the annealing temperature. These extremely low correlation length values were explained in terms of topological constraints, which prevent fast coarsening in the lamellar phase [27]. In this context, defect density and lateral ordering in symmetric block copolymer thin films upon conventional thermal treatment have been extensively investigated as a function of several experimental parameters. The film thickness and the

Figure 5. Typical mass profiles of DEP analysis for R58 (left) and

B50 (right). The mass profiles report the degradation results during thermal treatment for the block copolymer self-assembling process at 250 °C (upper row) and 325 °C (lower row). The curves were extracted in selected ion monitoring (SIM) mode by acquiring the signal corresponding to the typical m/z values of styrene (dashed line) at 104 and of methylmethacrylate (continuous line) at 100. 7

Nanotechnology 25 (2014) 275601

M Ceresoli et al

Figure 6. Peak areas of the styrene (a) and methylmethacrylate (b) evolved from B50 samples in 60 s of annealing time and from thermally

pretreated (250 °C for 600 s) R58 samples in 10 s or 60 s of annealing time at different annealing temperatures Ta.

degree of polymerization of the block copolymer significantly affect the density of defects, whereas thermal annealing time and temperature have relatively little effect on the lamellar morphology [28]. On the other hand, the current results highlight a significant variation in the lateral ordering of lamellar thin films on featureless substrate when changing thermal annealing conditions and demonstrate, at the same time, the possibility of obtaining high correlation values in very short periods of time. From a fundamental point of view, our data provide a clear indication that the final thermodynamic equilibrium of a system greatly depends on the temperature of the RTP treatment: the higher the temperature, the higher the correlation length values. Moreover, the evolution of ξ as a function of time seems to indicate that a significant increase in the ordering rate occurs at high temperatures. The high correlation length values herein reported, as well as their marked time and temperature dependence, could be ascribed to the peculiarities of the RTP treatment. Although a complete

Figure 7. Correlation length of lamellar pattern for B50 thin films as a function of temperature. Full squares are relative to samples treated in RTP for 60 s. Open squares represent samples submitted to 10 s of RTP.

Figure 8. SEM images of B50 samples processed with RTP at different temperatures for 600 s of annealing time.

8

Nanotechnology 25 (2014) 275601

M Ceresoli et al

be achieved in an annealing time as short as 10 s. The obtained lamellar patterns exhibit superior lateral ordering, with high correlation length values and consequently reduced defect density, compared with samples treated in a conventional furnace or oven for very long periods of time. In this regard, it is worth noting that lamellar-phase PS-b-PMMA patterns are widely recognized to perfectly fit high-resolution lithography applications, but their implementation as selfassembly lithographic materials has been impeded so far by their intrinsic high defect density, which does not decrease significantly with annealing time or temperature in conventional thermal treatment. The maximum processing temperature that enables the ordering of block copolymers without introducing any significant degradation of macromolecules was identified. At this maximum processing temperature (Ta = 290 °C), the final quasi-equilibrium morphology is achieved within 60 s of annealing time. These results represent a significant improvement in the capability to order symmetric block copolymer and demonstrate that the limited orientational order of symmetric PS-b-PMMA block copolymer reported in the literature is not intrinsically associated with the properties of these macromolecules but is more probably related to the peculiar properties of the specific treatment that is used to promote the self-assembly.

Figure 9. Correlation length values for B50 samples annealed in RTP for 10 min at different temperatures (black circles), compared with literature results [8] obtained for the same copolymer treated in a conventional furnace for 100 min Straight lines are drawn to guide the eyes.

picture of the ordering mechanism in this system is still missing, it is clear that RTP provides substantially different results compared with conventional furnace treatment. More specific insight into the principles that drive the morphological development of block copolymer thin films due to RTP treatment is the subject of a forthcoming paper. From a technological point of view, these data show that a 60 s RTP treatment is enough to reach quasi-equilibrium morphology at 290 °C. This temperature has been identified as the limiting processing temperature for this specific system, due to significant degradation of the random copolymer film when operating at Ta ⩾ 300 °C. In this regard, the reported correlation length values represent the highest level of lateral ordering that can be achieved in this specific system without introducing any external guiding structure, as in the case of graphoepitaxy [29, 30], or chemical patterning [12]. In principle, according to the degradation data previously discussed, the block copolymer could eventually sustain even higher processing temperatures. Consequently, a further increase in the correlation lengths could be envisioned by operating the RTP treatment at higher annealing temperatures, provided random copolymer brush layers with greatly increased thermal stability are employed.

Acknowledgments This research activity was partially funded by the ERANET PLUS ‘NanoSci-E+’ consortium through the NANO-BLOCK project and by the European Metrology Research Programme (EMRP), project n01-TReND. The EMRP is jointly funded by the EMRP participating countries within EURAMET and the European Union. Partial financial support by PRIN 20102011 ‘Materiali Polimerici Nanostrutturati con strutture molecolari e cristalline mirate’ is acknowledged. Patent protection related to this work is pending. The authors would like to thank Prof. Paolo Milani for interesting and fruitful discussions and for critical reading of the manuscript. We also acknowledge Salvatore Grasso at ST-Microelectronics for profilometer data.

References [1] [2] [3] [4] [5]

4. Conclusions Highly ordered lamellar patterns were formed in symmetric block copolymer thin films on featureless surfaces by RTP treatment. Phase separation and microdomain evolution were explored in a rather wide range of processing temperatures and in a time scale fully compatible with the constraints of industrial lithographic applications. The specific thermal treatment used in this work allows an extremely high orientational order of symmetric PS-b-PMMA block copolymer to

[6] [7] [8] [9] [10] 9

Sanders D P 2010 Chem. Rev. 110 321–60 Li M and Ober C K 2006 Mater. Today 9 30–9 Hamley I W 2009 Prog. Polym. Sci. 34 1161–210 Lopes W A and Jaeger H M 2001 Nature 414 735–8 Thurn-Albrecht T, Schotter J, Kastle C A, Emley N, Shibauchi T, Krusin-Elbaum L, Guarini K, Black C T, Tuominen M T and Russell T P 2000 Science 290 2126–9 Park S-M, Stoykovich M P, Ruiz R, Zhang Y, Black C T and Nealey P F 2007 Adv. Mater. 19 607–11 Ruiz R, Sandstrom R L and Black C T 2007 Adv. Mater. 19 587–91 Ruiz R, Ruiz N, Zhang Y, Sandstrom R L and Black C T 2007 Adv. Mater. 19 2157–62 Darling S B 2007 Prog. Polym. Sci. 32 1152–204 Albert J N L and Epps T H III 2010 Materials Today 13 24–33

Nanotechnology 25 (2014) 275601

M Ceresoli et al

[20] Mansky P, Liu Y, Huang E, Russell T P and Hawker C 1997 Science 275 1458–60 [21] Harrison C et al 2000 Macromolecules 33 857–65 [22] Yokojima Y and Shiwa Y 2002 Phys. Rev. E 65 056308 [23] Mansky P, Russell T P, Hawker C J, Mays J, Cook D C and Satija S K 1997 Phys. Rev. Lett. 79 237–40 [24] Lehrle R and Shortland A 1993 Eur. Polym. J. 29 1277–82 [25] Lehrle R, Atkinson D J, Bate D, Gardner P, Grimbley M, Groves S, Place E and Williams R 1996 Polym. Degrad. Stab. 52 183–96 [26] Gianotti V, Antonioli D, Sparnacci K, Laus M, Giammaria T, Ferrarese Lupi F, Seguini G and Perego M 2013 Macromolecules 46 8224–34 [27] Ruiz R, Bosworth J K and Black C T 2008 Phys. Rev. B 77 054204 [28] Campbell I P, Hirokawa S and Stoykovich M P 2013 Macromolecules 46 9599–608 [29] Kim E et al 2013 ACS Nano 7 1952–60 [30] Sundrani D, Darling S B and Sibener S J 2004 Nano Lett. 4 273–6

[11] Garetz B A, Balsara N P, Dai H J, Wang Z, Newstein M C and Majumdar B 1996 Macromolecules 29 4675–9 [12] Welander A M, Kang H, Stuen K O, Solak H H, Müller M, de Pablo J J and Nealey P F 2008 Macromolecules 41 2759–61 [13] Gotrik K W, Hannon A F, Son J G, Keller B, Alexander-Katz A and Ross C A 2012 ACS Nano 6 8052–9 [14] Son J G, Chang J-B, Berggren K K and Ross C A 2011 Nano Lett. 11 5079–84 [15] Gong J, Ahn H, Kim E, Lee H, Park S, Lee M, Lee S, Kim T, Kwak E-A and Ryu D Y 2012 Soft Matter 8 3570 [16] Zhang X, Harris K D, Wu N L Y, Murphy J N and Buriak J M 2010 ACS Nano 4 7021–9 [17] Ferrarese Lupi F, Giammaria T J, Ceresoli M, Seguini G, Sparnacci K, Antonioli D, Gianotti V, Laus M and Perego M 2013 Nanotechnology 24 315601 [18] Seguini G et al 2014 Nanotechnology 25 045301 [19] Ferrarese Lupi F, Giammaria T J, Seguini G, Laus M, Enrico E, De Leo N, Boarino L, Ober C K and Perego M 2014 J. Mater. Chem. C 2 2175

10

Evolution of lateral ordering in symmetric block copolymer thin films upon rapid thermal processing.

This work reports experimental findings about the evolution of lateral ordering of lamellar microdomains in symmetric PS-b-PMMA thin films on featurel...
3MB Sizes 0 Downloads 3 Views