Nodal line-scanning method for maskless optical lithography Kenneth C. Johnson KJ Innovation—2502 Robertson Rd., Santa Clara, California 95051, USA ([email protected]) Received 26 June 2014; revised 21 September 2014; accepted 25 September 2014; posted 3 October 2014 (Doc. ID 214816); published 31 October 2014

Maskless optical lithography can improve the economics and performance of multi-patterning by eliminating photomasks and by simplifying the lithography exposure technology. It could also potentially eliminate the need for multi-patterning by enabling dual-wavelength, nonlinear optical recording methods. High-resolution, maskless patterning can be achieved with a scanned-spot-array system in which modulated, diffraction-limited focus spots write the exposure pattern. Each spot has a central zerointensity interference null along a line parallel to the scan direction for printing sub-resolution line patterns. High throughput can be achieved at the comparatively low repetition rate of excimer lasers (e.g., 6 kHz). The low repetition rate simplifies the optical modulation technology, enabling the use of supplemental modulation controls including dynamic gray-level and beam-centration controls for resolution enhancement. © 2014 Optical Society of America OCIS codes: (110.3960) Microlithography; (220.1000) Aberration compensation; (220.4610) Optical fabrication; (230.1950) Diffraction gratings; (230.2035) Dispersion compensation devices; (350.3950) Micro-optics. http://dx.doi.org/10.1364/AO.53.0000J7

1. Introduction

The premise of next-generation lithography schemes such as extreme ultraviolet (EUV) has been that optical lithography cannot exceed the wavelengthdetermined optical resolution limit, but the limit has been progressively extended and has now been entirely circumvented with multi-patterning [1]. Optical patterning capability is limited not by the wavelength, but rather by high mask costs and the engineering challenge of maintaining nanometerscale dynamic alignment while scanning a wafer at 700 mm∕s and the mask at 2800 m∕s in a state-ofthe-art projection scanner [2]. A maskless, scanned-spot-array optical lithography system can eliminate mask costs and can also simplify the exposure technology in several ways. The system uses multiple small-scale, modular projection lenses resembling high-end microscope or camera lenses in contrast to the massive, monolithic optics 1559-128X/14/3400J7-12$15.00/0 © 2014 Optical Society of America

of mask-projection systems. High throughput is achieved by scanning a wafer below multiple lens columns at a rate of less than 50 mm∕s while micromechanical actuators maintain nanometer-scale dynamic image alignment. The spot-generation microoptics can entirely neutralize geometric aberrations in the projection system, allowing the projection optics’ design performance requirements to be relaxed. Commercial maskless optical systems have not attained either resolution or throughput performance on par with 193 nm immersion (193i) projection lithography, but this limitation can be overcome adapting a spot-scanning system for nodal lineprinting in which linear, zero-intensity interference nulls in the focus spots are used to print narrow line features. An additional scanned exposure, using focus spots with localized intensity peaks covering the nodal lines, may be used to trim the nodal exposure pattern. The achievable line pitch of the pattern is limited by optical resolution, but multiple sparse line patterns can be interleaved using conventional multipatterning methods to form dense lines and spaces. 1 December 2014 / Vol. 53, No. 34 / APPLIED OPTICS

J7

The nodal line method can also be applied to dualwavelength exposure methods such a two-color lithography [3] or absorbance modulation lithography [4] in which resist photo-activation or absorption by an exposure wavelength is suppressed or inhibited by a second masking wavelength. The focus spots are simultaneously illuminated in both wavelengths, and nodal interference nulls in the masking pattern confine the latent exposure image to narrow lines at the node locations. Dense line patterns can be formed by doing multiple scans without intermediate resist processing. But a prerequisite to dual-wavelength lithography is attainment of high resolution and throughput with single-wavelength maskless processing. This paper provides a conceptual overview of the basic principles of scanned-spot-array lithography and nodal line printing. A more detailed exposition can be found in [5]. (An earlier publication [6] described a spot-scanning EUV system, which might also be improved by employing the nodal line technique; but the focus of this paper is on deep ultraviolet (DUV) and dual-wavelength lithography.) Spot-scanning techniques and technologies are briefly outlined in Section 2, and their adaptation for nodal line printing is discussed in Section 3. Section 4 discusses raster scanning, and Section 5 derives illustrative scan performance characteristics of a 193i maskless system. Section 6 outlines design options for the optical modulators, and Section 7 discusses dual-wavelength optics. Conclusions are summarized in Section 8.

Fig. 1. Raster scan geometry. Focused-radiation spots on a wafer surface are modulated as the wafer is scanned across the spot array to print a high-resolution, digitally synthesized image in a photoresist.

might use just one lens column. (A production processes that is proved out on an R&D tool could be ported directly to a high-volume tool that uses the same type of exposure optics.)

2. Modulated Spot-Array Scanning: Techniques and Technologies

A scanned-spot-array system comprises the following basic elements: (1) an optical projection system, which images an object plane onto an image plane at fairly high (e.g., 25×) reduction; (2) a microlens array, which focuses illumination onto a large (e.g., 256 by 256) array of focal points on the projection system’s object plane; and (3) a spatial light modulator (SLM) (e.g., a micro-electro-mechanical systems (MEMS)-actuated micro-shutter array), which modulates the individual focal spots as a printing surface (wafer) is raster-scanned across the image plane. A fairly sparse array of focused image spots can scan a dense array of lines, as illustrated schematically in Fig. 1. (The illustration is somewhat over-simplified, but the scan process will be discussed in more detail in Section 4.) Conventional, mask-projection lithography requires mask-scale projection optics, whereas a maskless system can use multiple small-scale, modular projection systems operating in parallel. For example, Fig. 2 compares the dimensional scale of a maskless projection system to that of a typical 193i mask projection lens [7], and Fig. 3 illustrates a 300 mm wafer covered by 19 small projection lenses. A lithography system configured for 450 mm wafers could use more modular projection units, all of the same design as the 300 mm system, whereas an R&D tool J8

APPLIED OPTICS / Vol. 53, No. 34 / 1 December 2014

Fig. 2. Typical 193i catadioptric mask-projection lens, left (1250 mm object-to-image length); maskless dioptric projection lens, right (200 mm length).

Fig. 3. Modular projection apertures, 19, covering a 300 mm wafer.

The small lens scale has multiple advantages over conventional, monolithic projection lenses. The volume of glass material per unit aperture area is reduced, and small-scale elements can be manufactured more easily. Geometric and chromatic optical aberrations generally scale in proportion to the lens size. Thermally induced aberrations are also less significant with small-scale lenses, and smaller elements achieve temperature equilibration more quickly. The effects of optical absorption, refractive index inhomogeneity, stress birefringence, bubbles, and inclusions in the lens glass all scale in proportion to the in-glass optical path length, and wafer nonflatness would have less impact over a comparatively small image field. The maskless projection lens of Fig. 2 is illustrated in greater detail in Fig. 4. Coherent, point-source laser illumination is directed into the top lens element. This particular design is configured for immersion lithography with an operating wavelength of 266 nm and numerical aperture (NA) of 1.2. The object field has a 40 mm diameter, and is imaged onto a 1.6 mm image field at 25× reduction. (Details on the lens design can be found in [5].) Other possible lens forms include the catoptric Schwarzschild lens described in [6] (for wide-band or dual-wavelength operation) or a catadioptric lens similar to that illustrated on the left side of Fig. 2, but scaled down in size. Whatever lens form is used, it can be much smaller than conventional maskprojection lenses and can be designed for a much higher reduction ratio. The advantage of a high reduction ratio is that the microlenses in the spotgeneration optics can be relatively large, low-NA elements, which are relatively easy to manufacture. The microlenses and SLM elements are formed on opposite sides of a 1.56 mm thick plate (Figs. 4 and 5). There are 65,536 microlenses formed on the plate’s top surface in a 256-by-256 square array with a 110 μm centering period. A detail view of the edge portion of the plate is shown in cross section in Fig. 5, and the microlens geometry is illustrated in Fig. 6. Each microlens is a phase-Fresnel element [8] of diameter 100 μm, with four facet steps. The outer Fresnel-facet width is approximately 5 μm, and the facet step heights are approximately 1/4 μm. Due to the high (25×) reduction ratio, the microlenses’ lateral dimensional tolerances would be

Fig. 4. Maskless spot-array projection lens (showing two limit rays from the edge of the object field through opposite sides of the aperture stop).

expected to be less stringent than 4× reduction masks by a factor of 25/4. The depth dimensions, which affect optical phase coherence, are accurately controlled by using advanced deposition/etch technologies such as atomic layer deposition (ALD) and

Fig. 5. Microlens/SLM plate. 1 December 2014 / Vol. 53, No. 34 / APPLIED OPTICS

J9

Fig. 6. Phase-Fresnel microlens form in cross section and aperture view. (The aspect ratio is exaggerated in the cross section for clarity of illustration.)

e-beam lithography or focused ion beam (FIB) machining. The microlenses are fabricated as kinoform structures [9] in hafnium oxide (HfO2 ) and aluminum oxide (Al2 O3 ) on a silicon dioxide (SiO2 ) substrate (for a 266 nm operating wavelength), as illustrated in Fig. 7. (This is similar to processes used to form Al2 O3 ∕HfO2 bilayer stacks for CMOS gate dielectrics [10] and for RF capacitors [11].) HfO2 and Al2 O3 are both transparent and have a high refractive index at 266 nm (2.1 for HfO2 and 1.6 for Al2 O3 ), which is advantageous for diffraction efficiency. The Al2 O3 is only used in thin layers (e.g., 2 nm) as an etch stop.

A similar process may be used to form phaseFresnel lenses for DUV operation at 193 or 157 nm, using a high-index medium such as lanthanum fluoride (LaF 3 ) or gadolinium fluoride (GdF 3 ) [12]. EUV microlenses can be similarly formed (for operation at a 13.5 nm wavelength) using molybdenum (Mo) and ruthenium (Ru) layers patterned on a thin silicon (Si) substrate [6]. Phase-Fresnel optics can also be used in the projection system for achromatization and/or to provide aspheric optical power [13,14]. For this application the material removal [step (b) in Fig. 7] can be performed using an ion turning technique (i.e., using a FIB in a lathe-type operation). A similar technique has been used to ion-figure small machine parts [15]. An alternative spot scanning method, which is implemented in the ZPAL system manufactured by LumArray [16], uses a microlens array at the projection system’s image plane rather than at the object plane. The attraction of this approach is that the projection system is greatly simplified because it only needs to achieve image resolution comparable to the microlens aperture dimension. But it requires very small microlenses operating at high NA. Objectspace microlenses of the type described above are more functional and manufacturable. Although this approach requires a high-resolution projection system, the projection optics technology is simplified by the small lens scale and by the microlenses’ aberration-correction capability. Each microlens functions only to direct an incident geometric wave to a single, geometric focal point on the image plane, so the microlens design has sufficient degrees of freedom to entirely eliminate geometric aberration at the image point. (The calculated design optical aberrations for the Fig. 4 system are below 1 milliwave with aberration correction.) The spot-generation optics may also include a form-birefringence surface [17,18] for polarization control. The surface is a sub-wavelength grating structure whose depth, pitch, and orientation determine the polarization state of transmitted radiation. The grating would be relatively shallow if the formbirefringence surface is only used as a corrective element, which only makes small changes in the polarization state. As with aberration correction, accurate polarization correction is possible because each point on the form-birefringence surface transmits only one geometric ray to a single image point. 3. Nodal Line Printing with Split-Phase Microlenses

Fig. 7. Phase-Fresnel lens manufacture, (a) ALD deposition of Al2 O3 and HfO2 layers on SiO2 , (b) material removal via e-beam or FIB patterning, (c) selective etch of residual material down to the top Al2 O3 etch-stop layer. J10

APPLIED OPTICS / Vol. 53, No. 34 / 1 December 2014

The microlens apertures can operate as pupildefining aperture stops, which are individually customized for each focus spot. (Section 6 will describe an optical configuration in which each microlens aperture is imaged onto the system’s entrance pupil by means of a weak field lens.) The microlens form illustrated schematically in Fig. 8 produces a pupil function that is adapted for nodal line printing. The lens is a phase-Fresnel structure, like Fig. 6, but with its aperture truncated to a rectangle and

Fig. 8. Split-phase microlens form used for nodal line printing.

narrowed in the scan direction. In addition, the lens has a split-phase form with a surface step discontinuity along a central line parallel to the scan direction. The step induces a half-cycle (π radian) optical phase discontinuity in the transmitted beam. (The sloped Fresnel-zone surfaces illustrated in Fig. 8 can be approximated by stepped, planar surface structures that are formed using the ALD/e-beam process illustrated in Fig. 7.) The image-plane, diffraction-limited electric field intensity resulting from this lens form with linear polarization is illustrated in Fig. 9. (The electric field vector’s horizontal component is parallel to the scan direction.) The narrowed aperture tends to elongate the point spread in the scan direction, which is not detrimental for line printing, but it improves throughput by allowing a relatively coarse scan step between laser pulses. Also, narrowed apertures allow higher aperture packing densities for both the microlenses and projection lenses, so throughput could be further improved by increasing the total number of microlenses in the system. The wide aperture dimension in the cross-scan direction preserves line-imaging resolution, and the rectangular aperture shape results in distinct interference nulls (nodes) along lines parallel to the scan direction. The split-phase lens form induces a prominent null through the center of the focal spot for printing narrow line patterns at a low exposure threshold. Since the illumination originates from a point laser source there are no source coherence

effects in the exposure pattern, and there are also no coherent interactions between exposure points because the separation between image-plane microlens foci is very large, e.g., of order 1 μm. The nodal line separation G3 is equal to the vacuum wavelength divided by the cross-scan NA. The line pitch in Fig. 1 is equal to this dimension, so only the spaces between the nodal lines are exposed by the split-phase lenses. Linear exposure patterns are formed by exposing discrete exposure spots along each scan line, with the scan step below the optical resolution limit. The nodal patterns formed by this process are trimmed by a second exposure process, using microlenses that produce exposure maxima centered on the nodal lines. The Fig. 9 field-intensity plot is based on a scalarwave approximation, which considers only the horizontal (i.e., wafer-tangential) electric-field component. A more accurate vector-wave model is required, as outlined below, to account for the verticalfield component. The vector electric field within a resist exposure medium of refractive index n comprises a superposition of plane waves of the form A expi 2π λ u • x, where x is a positional coordinate vector, λ is the vacuum wavelength, u is an indexnormalized wave vector of magnitude n, and A is an amplitude vector orthogonal to u: u • u  n2 ;

(1)

A • u  0:

(2)

Vectors are represented in bold type and function arguments are delimited by square braces …. Vectors are projected onto orthonormal basis vectors eˆ 1 , eˆ 2 , eˆ 3 (e.g., x  eˆ 1 x1  eˆ 2 x2  eˆ 3 x3 ) with eˆ 1 vertical (i.e., normal to the image plane), eˆ 2 directed in the scan direction of the focal spots relative to the wafer, and eˆ 3 directed in the cross-scan horizontal direction. (Normally the wafer scans across a stationary focalspot array, so the wafer scan direction is −ˆe2.) A focal-spot’s vector electric field E at position x in the vicinity of the geometric focus has the Fourier integral representation: ZZ Ex 

  2π Au2 ; u3  exp i u • x du2 du3 : λ

(3)

A is represented as a function only of two wave-vector components u2 and u3 because u1 is implicitly defined by Eq. (1): u1 

2

Fig. 9. Nodal line-point image (jE2 j ) from a split-phase lens. The dashed lines represent interference nulls.

q n2 − u22 − u23 :

(4)

(In an optically absorptive medium, n and u1 are complex-valued.) We are only concerned with the field in the focal plane, x1  0, so Eq. (3) is simplified to 1 December 2014 / Vol. 53, No. 34 / APPLIED OPTICS

J11

  2π Au2 ; u3  exp i u2 x2  u3 x3  du2 du3 : λ (5)

ZZ Ex2 ; x3  

(The geometric focal point is at x2  0  x3 .) The field is constrained by polarization-control optics to be linearly polarized orthogonal to the cross-scan direction, A • eˆ 3  0:

(6)

Based on Eqs. (2) and (6), the amplitude vector A can be represented as   u Au2 ; u3   Pu2 ; u3  eˆ 2 − 2 eˆ 1 ; u1

(7)

where the scalar factor Pu2 ; u3  represents a pupil function in the vicinity of the geometric focus. With this substitution in Eq. (5) and substitution of Eq. (4), the eˆ 3 , eˆ 2 , and eˆ 1 projections of E (E3 , E2 and E1 ) are E3 x2 ; x3   0; ZZ E2 x2 ; x3  

(8)

h

i Pu2 ; u3  exp i 2π u x  u x  2 2 3 3 du2 du3 ; λ (9) h

i ZZ Pu ; u u exp i 2π u x  u x  2 3 2 2 2 3 3 λ q E1 x2 ; x3   − n2 − u22 − u23 × du2 du3 :

(10)

For nodal line printing the pupil function is symmetric in x2 and antisymmetric in x3 , Pu2 ; u3   P−u2 ; u3   −Pu2 ; −u3 :

(11)

These relations simplify the complex exponential integrals, Eqs. (9) and (10) to ZZ E2 x2 ; x3   i

Pu2 ; u3  cos

× du2 du3 ;

h

2π λ u2 x2

i

h sin

2π λ u3 x3

i (12)

i h i h ZZ Pu ; u u sin 2π u x sin 2π u x 2 3 2 λ 2 2 λ 3 3 q E1 x2 ; x3   n2 − u22 − u23 × du2 du3 :

(13)

It follows from Eqs. (12) and (13) that E2 and E1 are both zero on the principal nodal line x3  0 and E1 is zero on the cross-scan line x2  0: J12

APPLIED OPTICS / Vol. 53, No. 34 / 1 December 2014

E2 x2 ; 0  0;

(14)

E1 x2 ; 0  0  E1 0; x3 :

(15)

For an unapodized, rectangular aperture, the pupil function has the form     C u2 u3 Pu2 ;u3   rect sgnu3 ; rect 2 NA2 2 NA3 4 NA2 NA3 (16) where NA2 and NA3 are NA limits in the scan and cross-scan directions, respectively, C is a constant factor, rect is the rectangle function (rectx  1 for jxj < 1∕2, 0 for jxj > 1∕2), and sgn is the sign function (sgnx  1 for x > 0, −1 for x < 0). The aperture is nominally rectangular in the sense that it passes rays defined by ju2 j < NA2 and ju3 j < NA3 in the exposure medium, although the actual geometric aperture shape defined by this criterion may not be exactly rectangular. Substitution of Eq. (16) in (12) yields 0 B E2 x2 ; x3   iC@

h sin

2π λ NA 2 x2

2π λ NA 2 x2

i 10 h i1 2 π CBsin λ NA3 x3 C CB C: A@ π NA x A λ

3 3

(17) Figure 9 represents jE2 j2 based on this equation. E2 exhibits nodal scan lines where x3 is any integer multiple of λ∕NA3, and also nodal cross-scan lines where x2 is any integer multiple of 12 λ∕NA2 other than x2  0. [The left-hand parenthesized term in Eq. (17) is 1 in the limit x2 → 0, and the right-hand parenthesized term is 0 in the limit x3 → 0.] The integral in Eq. (13) does not reduce to a simple form with Eq. (16) substituted, but it can be evaluated numerically. E1 is typically relatively small over the entire image spot, particularly if NA2 is small, because of the presence of the factor u2 sin2π λ u2 x2  in Eq. (13). Figure 10 shows a plot of jE1 j2 for design parameters representing a high-NA immersion system: NA2  0.6, NA3  1.2, n  1.7. [Note that in Eq. (13) n is the refractive index of the photoresist, not the immersion fluid.] The vertical scale in Fig. 10 is exaggerated relative to Fig. 9. (The peak jE1 j2 value is 30 times smaller than the jE2 j2 maximum.) The jE1 j2 plot exhibits nodal lines coinciding with those of jE2 j2. (The jE1 j2 values on the nodal lines are not exactly zero, but are smaller than the jE2 j2 peak by more than a factor of 5000.) The horizontal plot range in Figs. 9 and 10 covers four wavelength units. The trim exposure is performed using more conventional microlenses that do not have the centerline phase split in Fig. 8, and which have a characteristic pupil function P0 that is symmetric in both x2 and x3 :

1 NA03  NA3 : 2

Fig. 10. jE1 j2 plot for nodal line-point image. (The vertical scale is exaggerated by a factor of 30 relative to Fig. 9.)

P0 u2 ; u3   P0 −u2 ; u3   P0 u2 ; −u3 :

(18)

Characteristics of the trim exposure are distinguished by primes. Equations (8)–(10) are applicable to P0 and E0 , and Eq. (18) simplifies Eqs. (9) and (10) to E02 x2 ; x3  

ZZ

P0 u2 ; u3  cos

h

2π λ u2 x2

i

h cos

2π λ u3 x3

× du2 du3 ;

i (19)

i h i h ZZ P0 u ; u u sin 2π u x cos 2π u x 2 3 2 λ 2 2 λ 3 3 q E01 x2 ; x3   −i n2 − u22 − u23 × du2 du3 :

(20)

It follows from Eq. (20) that E01 is zero on the crossscan line x2  0, E01 0; x3   0:

(21)

Without apodization the trim-exposure pupil function P0 for a rectangular aperture has the form P0 u2 ; u3  

    C u2 u3 rect : rect 2 NA02 2 NA03 4 NA02 NA03 (22)

(24)

The factor-of-two reduction of NA03 relative to NA3 allows NA02 to be commensurately increased for improved trim resolution. For example, Fig. 11 illustrates a phase-Fresnel lens for trim exposure, which is relatively narrow in the cross-scan direction but wide in the scan direction. Figures 12 and 13 illustrate the jE02 j2 and jE01 j2 field intensity plots [from Eqs. (23) and (20)] for the trim exposure spot calculated with NA02  1.2, NA03  0.6, and n  1.7. The vertical scale in Fig. 13 is exaggerated relative to Fig. 12. (The peak jE01 j2 value is 64 times smaller than the jE02 j2 maximum.) Both plots exhibit interference nulls (the dashed lines) coinciding with nulls in the nodal line-point image (Fig. 9), except that the center line (x3  0) is exposed by the trim spot. Thus, the trimming function is localized to a single nodal line. The secondary diffraction maxima in Fig. 9 can be substantially reduced by apodizing the microlenses. This would broaden the central diffraction peaks somewhat, but would allow printing at any line pitch large enough to clear the central peak widths. (Section 6 describes modulation mechanisms that can allow the scan lines to deviate somewhat from straightness and strict periodicity for resolution enhancement. This facility could be put to effective use with apodized lenses.) The pupil function would generally be separable in u2 and u3 . Figure 14 illustrates the u3 -dependent factor in Eq. (16) as a solid gray line and an alternative apodized function as a solid black line. Figure 15 shows a cross-scan section of the resulting nodal exposure spot with and without apodization. The trimexposure lens can similarly be apodized, as illustrated by the dashed lines in Figs. 14 and 15. The apodization reduces the secondary diffraction peaks by an order of magnitude. (Microlens apodization can be effected with grating-type surface microstructures that induce zero-order attenuation by diffractive scattering.) Figure 15 illustrates the benefit of nodal line printing. By exposing the resist at a low exposure

With this substitution, Eq. (19) evaluates to 0

h i 10 h i1 2π 0 0 sin 2π NA x sin NA x 2 2 CB 3 3 C λ λ B E02 x2 ; x3   C@ 2π A@ 2π A: 0 0 λ NA 2 x2 λ NA 3 x3 (23) E02 exhibits nodal scan lines where x3 is any integer multiple of 12 λ∕NA03 other than x3  0. The nodal exposure field, Eq. (17), has nodal lines where x3 is any integer multiple of λ∕NA3 ; thus the nulls in the nodal exposure and trim exposure can be made to coincide by setting NA03 to 12 NA3 ,

Fig. 11. Microlens form for trim exposure. 1 December 2014 / Vol. 53, No. 34 / APPLIED OPTICS

J13

Fig. 12. jE02 j2 plot for trim exposure.

threshold, the printed linewidth can be an order of magnitude less than λ∕NA3 (i.e., the effective k factor is of order 0.1 for a single exposure). Techniques such as spacer patterning and multi-patterning [1] can be used to further reduce the linewidth as well as increase line density. 4. Raster Scan Process

Figure 1 conceptually illustrates a scan process in which each scan line is exposed by a single modulated focus spot, but this method is unable to make effective use of the available object field. For example, with the unapodized pupil function defined by Eq. (16), the scan line spacing is λ∕NA3 , e.g., approximately 160 nm with λ  193 nm and NA3  1.2. Assuming that the microlens cross-scan center spacing is of the order 100 μm, and that the spot array is imaged at 25× reduction, the cross-scan center spacing between focus spots would be 4 μm in the image plane. There would be 25 scan lines [i.e., 4 μm∕160 nm] covered by each spot row in Fig. 1. The spot spacing in the scan direction would also be of order 4 μm (perhaps somewhat more with the aperture narrowing illustrated in Fig. 8), and with 25 spots per row the total row length would be of the order 100 μm. Based on the 25× reduction factor, the corresponding microlens row length in the object space would be only about 2500 μm, far less than the usable object field. (For example, the Fig. 4 system has a usable object field of diameter 40 mm.) The available field area can be more effectively utilized by using an “interleaved raster scan” method in which each line is scanned by multiple focus spots. Each spot exposes a uniformly spaced sequence of

Fig. 13. jE01 j2 plot for trim exposure. (The vertical scale is exaggerated by a factor of 64 relative to Fig. 12.) J14

APPLIED OPTICS / Vol. 53, No. 34 / 1 December 2014

Fig. 14. The pupil function’s u3 -dependent factor without apodization (gray lines) and with apodization (black), for the splitphase lens (solid) and the trim lens (dashed). The dimensional scale factors are specified in units of NA3 .

image dots (diffraction-limited point exposures) in synchronization with the pulsed laser illumination, and the dot patterns printed by different focus spots on the same line are interleaved to form a uniform, dense pattern of evenly spaced dots. For example, Fig. 16 depicts a 75-spot array, which scans 25 raster lines. Each line is scanned by a row of three spots. The spot rows are arranged into echelon blocks, five rows per block, and the blocks are repeated periodically in the cross-scan direction to form the full array. Figure 17 shows the scan geometry of a particular scan line in greater detail. The modulated focus spots covering the line are illustrated schematically as open circles, the unexposed image dots are indicated by + marks, and the exposed dots are depicted as small, filled-in circles with the circle shading indicating which focus spot made the exposure. For the purpose of illustration, the wafer can be imagined as being stationary while the focus spots scan from left to right, and the modulators are considered to be held in their ON states throughout the line scan.

Fig. 15. Exposure intensity profiles along cross-scan sectional line (at x2  0) for the pupil functions illustrated in Fig. 14. The profiles are peak-normalized and the dimensional scale factors are specified in units of λ∕NA3.

Fig. 16. Interleaved raster-scan geometry in which each raster line is scanned by three focus spots.

The top view, Fig. 17(a), shows the beginning of the line-scan process after a single laser pulse has been directed onto the focus spots. The x2 displacement between image dots is denoted as G2, and the center spacing between focus spots is N dot∕spot G2 for some integer N dot∕spot (e.g., N dot∕spot  5, as illustrated). Figure 17(b) illustrates the process after the second laser pulse. The scan step per laser pulse is N dot∕pulse G2 for some integer N dot∕pulse (e.g., N dot∕pulse  3 in Fig. 17). Figure 17(c) shows the exposure pattern after many laser pulses. The image dots between the beginning and end positions of the focus spot row are all exposed with no gaps or duplicate exposures in the dot pattern. This condition holds when the number of spots per row is equal to N dot∕pulse (both are equal to 3 in Fig. 17), and when N dot∕pulse and N dot∕spot are relatively prime. The few unexposed dots at either end of the scan line can be seamlessly covered by overlapping adjacent scan fields. [Note that the exposed/unexposed dot patterns on the left and right sides of the scan line are complementary in Fig. 17(c).] 5. Projected Performance of a 193i Spot-scanning System

Table 1 outlines illustrative design and performance data for a hypothetical 193i system using the scan process described in Section 4. (More detail on the design methodology can be found in [5]. A projection lens for this configuration could be adapted from Fig. 4 design or existing 193i lens designs.) The wavelength λ is 193 nm and the NA limits NA2 and NA3 are determined by two criteria: The aperture stop has a 2∶1 aspect ratio (NA3 ∕NA2  2) and the rectangular aperture is inscribed in a

Fig. 17. The line-scan geometry is illustrated schematically after the first (a), second (b), and eighth (c) laser pulse.

circular aperture of NA 1.35 (NA22  NA23  1.352 ). The image dots are distributed on a square grid with the scan step G2 set to the optical resolution limit (cf. Fig. 17). The scan line pitch G3 (Fig. 16) is equal to the nodal line spacing defined by Fig. 17. The projection system operates at 25× reduction (R). The center spacing between focus spots in each spot row is 8 grid units (N dot∕spot  8), resulting in an image-plane spot centering offset of 1.28 μm, and an object-space microlens centering offset of 32 μm in the scan direction. The number of spot rows per echelon block (N row∕block ) is 16, resulting in a cross-scan centering offset of 2.56 μm between focus spots on the image plane, and a cross-scan centering offset of 64 μm between mincrolenses. (The microlens aperture dimensions must fit within a 32-by-64 μm footprint.) The number of focus spots per row (N spot∕row ) and the scan step per pulse in grid units (N dot∕pulse ) are both set to 49 (which is relatively prime with N dot∕spot , as required). This choice results in an image field width of 1 mm in the scan direction. The corresponding microlens array dimension is 25 mm. The number of echelon blocks per image field (N block∕field ) is 784, implying an image-field cross-scan dimension of 2 mm. The corresponding microlens array crossscan dimension is 50 mm. The wafer is exposed in a multi-pass raster-scan operation to cover a print area much larger than the 1-by-2 mm image field. The wafer is stepped by the field’s 2 mm cross-scan dimension between scan passes. The number of lines scanned by each focusspot row, N line∕row , is equal to the number of scan passes and is set to 30, implying that the cross-scan dimension of the region printed by a single image field is 60 mm. The total number of image dots per scan line, N dot∕line , is set to 188160 so that the printed region has a 30 mm dimension in the scan direction. Table 1.

Illustrative 193i Design and Performance Data

λ  193 nm NA2  0.6, NA3  1.2 G2  λ∕2 NA2   160 nm, G3  λ∕NA3  160 nm R  25 N dot∕spot  8, N dot∕spot G2  1.28 μm, N dot∕spot G2 R  32 μm N row∕block  16, N row∕block G3  2.56 μm, N row∕block G3 R  64 μm N dot∕pulse  N spot∕row  49, N dot∕spot N spot∕row N row∕block G2  1 mm N block∕field  784, N row∕block N block∕field G3  2 mm N line∕row  30, N line∕row N row∕block N block∕field G3  60 mm N dot∕line  188160, N dot∕line G2  30 mm N field  38 N spot  N spot∕row N row∕block N block∕field N field  23; 356; 928 N dot  N dot∕line N line∕field N field  2; 690; 718; 105; 600 rep rate  6000 s−1 speed  N dot∕pulse G2 rep rate  47 mm∕s data rate  N spot data rate  1.4 · 1011 s−1 area rate  G2 G3 area rate  36 cm2 ∕s area  G2 G3 N dot  687 cm2 scan time  area∕area rate  19 s dose  30 mJ∕cm2 power  area rate · dose  1.1 W

1 December 2014 / Vol. 53, No. 34 / APPLIED OPTICS

J15

Fig. 18. Aperture layout of 38 projection systems arrayed over a 300 mm wafer. The rectangles represent microlens array apertures, which have dimensions of 25 by 50 mm and centering offsets of 30 by 60 mm.

The microlens centering offsets (32 μm in the scan direction, 64 μm in the cross-scan direction) are selected to accommodate a 2∶1 microlens aperture aspect ratio, which is determined by the 2∶1 ratio of NA3 to NA2 . The largest projection system lenses will have clear apertures approximately matching the pupil shape defined by the microlens apertures. The microlens array aperture dimensions (25 mm, 50 mm in this example) should approximately match the large projection lenses (e.g., as in Fig. 4) in order to optimize aperture packing efficiency. The scan field dimensions (30 mm, 60 mm) are equal to the centering offsets between projection systems and should be large enough to accommodate the projection-system apertures plus clearance allowances. The number of projection systems and corresponding scan fields (N field ) is 38. Figure 18 shows how the systems are arrayed over a 300 mm wafer. (Note the improvement in aperture packing efficiency relative to the circular-aperture layout in Fig. 3.) The total number of focus spots. N spot , in all fields is 2.3 · 107 (i.e., 6.1 · 105 per field), and the total number of image dots, N dot , is 2.7 · 1012 (i.e., 7.1 · 1010 per field). The illumination source is a pulsed excimer laser with a 6 kHz repetition rate (rep_rate in Table 1). The wafer scans a distance of N dot∕pulse G2 per pulse, implying a linear scan rate (speed) of 47 mm∕s. Assuming that the ON/OFF state of each focus spot is controlled by one data bit, the total data rate (data_ rate) is 140 GHz (i.e., 3.7 GHz per field). The data rate would be higher if control capabilities, such as gray-level control, (discussed in Section 6) are provided. The area scan rate (area_rate) is 36 cm2 ∕s (i.e., 94 mm2 ∕s per field). The total print area coverage (area) is 687 cm2 (i.e., 18 cm2 per field). This amounts to 97% of a 300 mm wafer area, but includes off-wafer printing area. The scan time per wafer (scan_time) is 19 s. This does not include the time required for wafer loading and scan reversal, but is consistent with a throughput of order 100 wafers per hour. (However, the high throughput is offset by the need for trimming and multiple patterning to J16

APPLIED OPTICS / Vol. 53, No. 34 / 1 December 2014

Fig. 19. A grating modulator operates to either (a) attenuate the zero transmitted order via diffractive scattering into 1 and higher orders, or (b) efficiently transmit the zero order, depending on the two gratings’ translational alignment. (The scattered orders are directed out of the projection system’s field of view.) Gray-level control is achieved at intermediate translational positions.

form dense line structures.) Assuming an exposure dose of 30 mJ∕cm2, the image-plane exposure power is 1.1 W. The laser power would need to be higher to accommodate optical losses. In addition, much higher power (e.g., of order 10 W) may be required to print narrow lines at a low exposure threshold using the nodal line-printing method. 6. Optical Modulation

The modulators’ frame rate matches the 6 kHz laser repetition rate, which is very low compared to typical MEMS modulator rates. For example, the Texas Instruments Digital Micromirror Device operates at up to 32 kHz [19], and the silicon light machines grating light valve can be modulated at multi-megahertz rates [20]. A low repetition rate provides design flexibility that can be used to provide additional modulation functionality including gray-level and beam-centration control. A modulator element in Fig. 5 can be a relatively simple transmission shutter. It can also incorporate a gray-level controller in the form of two transmission gratings, one of which is translationally actuated via MEMS controls as illustrated in Fig. 19. A similar type of device, which operates in reflection mode, is described in [21]. A grating modulator of this type can be designed to provide full modulation without a separate shutter mechanism, but by using a shutter for ON/OFF switching the grating modulator is greatly simplified because it need not achieve high zero-order extinction in the OFF state. Beam centration can be controlled by translationally actuating the microlenses in the cross-scan direction. The microlens motion could potentially interfere with aberration correction, but this problem can be avoided with the optical configuration shown schematically in Fig. 20. The microlens power is divided between two closely aligned elements, one of which is translationally actuated. A small, lowpower field lens at the microlens focal plane images the microlens aperture onto the projection system’s entrance pupil so that the translational motion does not induce a pupil shift. The two microlens elements have sufficient design degrees of freedom to maintain

substantially perfect point imaging through the projection system over the microlens positional range. (The imaging is actually only perfect to a firstorder approximation in the translational offset. Algorithmic details of the microlens design can be found in [5].) These supplemental control mechanisms can be used for resolution enhancement, and can also be used as corrective mechanisms. Gray-level control can be used to vary line widths and to compensate for laser power drift and nonuniform optical transmittance in the projection system. Centering controls allow the printed line patterns to deviate somewhat from straightness and strict periodicity, and can also compensate for small scan-positioning errors and thermally-induced image distortion. 7. Dual-wavelength Optics

The nodal line-printing method described in Section 3 uses multi-patterning to achieve high line densities, but the method can also be adapted for dualwavelength exposure methods such as two-color lithography or absorbance modulation lithography to record high-density patterns directly, in a single exposure process. In this mode of operation an exposure wavelength λ1 modifies the resist solubility in relation to the absorbed energy. The resist is simultaneously exposed to a second masking wavelength λ2 , which selectively inhibits the resist absorption or photo-activation at wavelength λ1 . The resist response is limited to regions where high λ1 intensity coincides with low λ2 intensity.

Fig. 20. Beam-centration control is effected by two microlenses, with the upper element being MEMS actuated to move in the cross-scan direction. The lower element is formed on a transparent plate, and a field lens on the bottom plate surface (in the microlens focal plane) images the microlens aperture onto the system entrance pupil. The small box schematically represents an optical modulator.

The λ1 radiation is focused onto an array of diffraction-limited spots, which have localized intensity peaks of the form illustrated in Fig. 12 and described by Eqs. (18)–(23) with λ  λ1 . The λ2 radiation is focused onto a superimposed array of spots having center-line nodes as illustrated in Fig. 9 and described by Eqs. (11)–(17) with λ  λ2 . In effect, the masking wavelength limits irradiance by the exposure wavelength to narrow lines at the λ2 diffraction nodes. This process differs fundamentally from singlewavelength nodal line printing, in which the narrow lines are formed in the unexposed image areas. The dual-wavelength process forms narrow lines in the exposed areas, so multiple line patterns can be sequentially scanned at a line pitch much smaller than the λ∕NA3 pitch used for single-wavelength patterning. The G3 dimension in Fig. 16 represents the line pitch for a single-pass scan, but multiple passes expose interleaved line patterns at a pitch that is a submultiple of G3. Printing throughput is slower in proportion to the number of scan passes, but multipatterning is not required to form high-density lines. Dual-wavelength operation requires dualwavelength optics such as multi-order phase-Fresnel lenses [22]. A phase-Fresnel lens can exhibit simultaneous blazing (high efficiency) and identical raytrace properties at wavelength λ1 in diffraction order m1, and at wavelength λ2 in diffraction order m2 , if the condition m1 λ1  m2 λ2 holds, and if the lens material’s refractive index is the same at wavelengths λ1 and λ2 . These conditions can generally only be satisfied approximately, but perfect blazing is not required and chromatic ray divergence can be minimized by standard achromatization methods. For example, a Schupmann microlens system [6] can be used to neutralize chromatic aberration in the spot-generation optics. (An achromatic Schupmann configuration would effect geometric aberration correction at two wavelengths simultaneously, bringing both wavelengths to a common geometric focal point on the image plane.) A split-phase lens (Fig. 8) can be designed for dualwavelength operation by operating in an even order m1 for exposure wavelength λ1 , and at an odd order m2 for masking wavelength λ2 . The center phase step induces a half-integral optical phase discontinuity of m2 ∕2 cycles at wavelength λ2 , which results in a zerointensity nodal line through the center of the λ2 focus spot. The same step induces a phase discontinuity of approximately m1 ∕2 cycles at wavelength λ1 (under the assumption that m1 λ1 ≈ m2 λ2 ), but the phase step has little effect on the λ1 focus spot because m1 ∕2 is a whole integer. 8. Conclusions

The maskless system outlined in this paper would build upon decades of technology development and know-how in optical lithography, using state-ofthe-art microfabrication methods to simplify and improve upon the technology, and bringing the 1 December 2014 / Vol. 53, No. 34 / APPLIED OPTICS

J17

benefits of digital printing to semiconductor manufacturing. Maskless, spot-scanning systems could potentially achieve resolution and throughput on par with stateof-the-art mask-projection lithography by adopting several novel design and manufacturing methodologies. These include (a) using object-space, phaseFresnel microlenses for spot generation; (b) applying advanced microfabrication technologies (ALD, e-beam, FIB) to microlens fabrication; (c) partitioning optical functionality between multiple modular projection systems of comparatively small dimensional scale; (d) designing the spot-generation optics to nullify geometric aberrations in the projection system; (e) using nodal line printing to achieve highresolution, high-throughput printing at a moderate (e.g., 6 kHz) frame rate; and (f) incorporating graylevel and beam-centration controls in the spotgeneration optics. The system concept presented here warrants an effort in design and lithography simulation to investigate its technical and market viability. This paper has focused on semiconductor manufacture, but the concept could also have utility for less demanding applications of maskless lithography including application-specific integrated circuit (ASIC), wafer-level packaging, PCB board manufacture, MEMS, microoptics, microfluidics, etc. Spot-scanning technology is also applicable to microscopy. In addition, the potential benefits may include a revolutionary advancement in optical resolution that could be possible with dual-wavelength operation. References 1. International Technology Roadmap for Semiconductors, 2011 Edition, Lithography, www.itrs.net. 2. F. Bornebroek, “TWINSCAN scanner evolution,” in ASML Images, Fall Edition 2008, pp. 14–15, http://www.asml.com. 3. R. R. McLeod, B. A. Kowalski, and M. C. Cole, “Two-color photo-initiation/inhibition lithography,” Proc. SPIE 7591, 759102 (2010). 4. R. Menon and H. I. Smith, “Absorbance-modulation optical lithography,” J. Opt. Soc. Am. A 23, 2290–2294 (2006). 5. K. C. Johnson, “Scanned-Spot-Array DUV Lithography System,” IP.com Prior Art Database Disclosure, IP.com Disclosure Number IPCOM000237578D (2014).

J18

APPLIED OPTICS / Vol. 53, No. 34 / 1 December 2014

6. K. C. Johnson, “Scanned-spot-array extreme ultraviolet imaging for high-volume maskless lithography,” J. Vac. Sci. Technol. B 30, 051606 (2012). 7. D. Shafer, W. Ulrich, A. Dodoc, R. M. Van Buenau, H. J. Mann, A. Epple, S. Beder, and W. Singer, “Catadioptric projection objective,” U. S. patent 8,355,201 (15 January 2013). 8. K. Miyamoto, “The phase Fresnel lens,” J. Opt. Soc. Am. 51, 17–20 (1961). 9. D. L. Smith, J. C. Mikkelsen, Jr., B. B. Hadimioglu, and M. C. Lim, “Method for fabrication of multi-step structures using embedded etch stop layers,” U. S. patent 6,187,211 (13 February 2001). 10. T. Nishimura, T. Okazawa, Y. Hoshino, Y. Kido, K. Iwamoto, K. Tominaga, T. Nabatame, T. Tasuda, and A. Toriumi, “Atomic scale characterization of HfO2/Al2O3 thin films grown on nitrided and oxidized Si substrates,” J. Appl. Phys. 96, 6113 (2004). 11. H. Hu, S. J. Ding, H. F. Lim, C. Zhu, M. F. Li, S. J. Kim, X. F. Yu, J. H. Chen, Y. F. Yong, B. J. Cho, D. S. J. Chan, S. C. Rustagi, M. B. Yu, C. H. Tung, A. Du, D. My, P. D. Foo, A. Chin, and D. L. Kwong, “High performance ALD HfO2-Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,” in IEEE International Electron Devices Meeting, Technical Digest (IEEE, 2003), pp. 15.6.1–15.6.4. 12. J. Wang, H. Schreiber, R. W. Davis, and B. R. Wheaton, “Structural comparison of GdF3 films grown on CaF2 (111) and SiO2 substrates,” Appl. Opt. 47, 4292–4296 (2008). 13. H. J. Rostalski, A. Epple, and H. Feldmann, “Use of diffractive optical elements in lithographic projection lenses,” Proc. SPIE 5962, 59621A (2005). 14. K. Kuba, “Diffractive optical element for use within a projection lens system,” U. S. patent 5,623,365 (22 April 1997). 15. M. J. Vasile, C. Biddick, and S. A. Schwalm, “Microfabrication by ion milling: the lathe technique,” J. Vac. Sci. Technol. B 12, 2388 (1994). 16. R. Menon, A. Patel, D. Chao, M. Walsh, and H. I. Smith, “Zoneplate-array lithography (ZPAL): optical maskless lithography for cost-effective patterning,” Proc. SPIE 5751, 330–339 (2005). 17. I. Richter, S. Pang-Chen, F. Xu, and Y. Faimnam, “Design considerations of form birefringent microstructures,” Appl. Opt. 34, 2421–2429 (1995). 18. Z. Bomzon, G. Biener, V. Kleiner, and E. Hasman, “Radially and azimuthally polarized beams generated by space-variant dielectric subwavelength gratings,” Opt. Lett. 27, 285–287 (2002). 19. Texas Instruments, www.ti.com. 20. Silicon Light Machines, www.siliconlight.com. 21. K. C. Johnson, “Stacked-grating light modulator,” U. S. patent 8,687,277 (1 April 2014). 22. D. Faklis and G. M. Morris, “Spectral properties of multiorder diffractive lenses,” Appl. Opt. 34, 2462–2468 (1995).

Nodal line-scanning method for maskless optical lithography.

Maskless optical lithography can improve the economics and performance of multi-patterning by eliminating photomasks and by simplifying the lithograph...
1MB Sizes 1 Downloads 8 Views