Home

Search

Collections

Journals

About

Contact us

My IOPscience

Spatial resolution in thin film deposition on silicon surfaces by combining silylation and UV/ozonolysis

This content has been downloaded from IOPscience. Please scroll down to see the full text. 2014 Nanotechnology 25 504006 (http://iopscience.iop.org/0957-4484/25/50/504006) View the table of contents for this issue, or go to the journal homepage for more

Download details: IP Address: 142.3.100.23 This content was downloaded on 01/01/2015 at 13:52

Please note that terms and conditions apply.

Nanotechnology Nanotechnology 25 (2014) 504006 (10pp)

doi:10.1088/0957-4484/25/50/504006

Spatial resolution in thin film deposition on silicon surfaces by combining silylation and UV/ozonolysis Lei Guo and Francisco Zaera Department of Chemistry, University of California, Riverside, CA 92521, USA E-mail: [email protected] Received 30 June 2014, revised 2 September 2014 Accepted for publication 15 September 2014 Published 28 November 2014 Abstract

A simple procedure has been developed for the processing of silicon wafers in order to facilitate the spatially resolved growth of thin solid films on their surfaces. Specifically, a combination of silylation and UV/ozonolysis was tested as a way to control the concentration of the surface hydroxo groups required for subsequent atomic layer deposition (ALD) of metals or oxides. Water contact angle measurements were used to evaluate the hydrophilicity/hydrophobicity of the surface, a proxy for OH surface coverage, and to optimize the UV/ozonolysis treatment. Silylation with hexamethyldisilazane, trichloro(octadecyl)silane, or trimethylchlorosilane was found to be an efficient way to block the hydroxo sites and to passivate the underlying surface, and UV/O3 treatments were shown to effectively remove the silylation layer and to regain the surface reactivity. Both O3 and 185 nm UV radiation were determined necessary for the removal of the silylation layer, and additional 254 nm radiation was found to enhance the process. Attenuated total reflection-infrared absorption spectroscopy was employed to assess the success of the silylation and UV/O3 removal steps, and atomic force microscopy data provided evidence for the retention of the original smoothness of the surface. Selective growth of HfO2 films via TDMAHf + H2O ALD was seen only on the UV/O3 treated surfaces; total inhibition of the deposition was observed on the untreated silylated surfaces (as determined by x-ray photoelectron spectroscopy and ellipsometry). Residual film growth was still detected on the latter if the ALD was carried out at high temperatures (250 °C), because the silylation layer deteriorates under such harsh conditions and forms surface defects that act as nucleation sites for the growth of oxide grains (as identified by electron microscopy and scanning electron microscopy). We believe that the silylation-UV/O3 procedure advanced here could be easily implemented for the patterning of surfaces in many microelectronic applications. Keywords: selective deposition, atomic layer deposition, silylation, UV-ozonolysis, silicon surface, patterning (Some figures may appear in colour only in the online journal) resolved films [4, 6, 7]. Potential applications include the deposition of copper as interconnectors [8–10] or as gate electrodes in thin-film transistors [11]. Surface patterning can be performed by using a number of procedures [2, 12–16], including some based on optical lithography [17, 18]. One interesting modality is the use of ultraviolet (UV) excitation of alkyl-based self-assembled monolayers (SAMs) to create photoresist masks for pattern

1. Introduction Some interest has developed in recent years in the microelectronics industry to find ways to deposit thin solid films with spatial resolution [1, 2]. Particular focus has been placed on developing new methodology to match such spatial patterning with atomic layer deposition (ALD) procedures [3–5] in order to add monolayer thickness control to the spatially0957-4484/14/504006+10$33.00

1

© 2014 IOP Publishing Ltd Printed in the UK

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

transferring by means of stamping or micro-contact printing [19–22], or for confined seed-trapping regions for site-selective electroless metallization [21, 23–25]. Alternatively, the alkyl-based monolayers may be modified and/or activated by using plasma excitation [26, 27], an approach that is also employed for surface functionalization or film damaging [28, 29] but rarely in connection with spatially controlled electroless metallization [30, 31]. Other options include the use of electron beams [32] or scanning probes [33] to selectively excite adsorbed organic layers with spatial resolution. All these methods have proven of some utility for specific applications, but optical lithography techniques may be the best choice to obtain the sharpest spatial resolution, which in that case is limited by the wavelength of the light used. Unfortunately, the majority of the SAMs-based lithography approaches reported to date involve several steps and often rely on leaving part of the organic layer (often including sulfur atoms) behind on the surface, which may affect the electronic properties of the interface between the substrate and the newly deposited film and also adds extra thickness to the overall device. Here we advance a new approach to pattern silicon surfaces for chemical film deposition based on an initial surface passivation step, accomplished via silylation of the surface, followed by spatially resolved UV/ozonolysis for the selective removal of the silylation agent. Both silylation and UV/ ozonolysis steps are individually well known, the first being used for surface passivation [34–38], for the addition of hydrophobicity [39, 40], or, in general, for surface derivatization [41, 42], and the second typically employed for surface cleaning [43–46] but also for the activation of organic (polymer) layers [20, 47, 48], chemical vapor deposition (CVD) or ALD precursors [49–51], and surface nucleation sites [52], and for surface oxidation [53] or surface structural modification [54, 55]. However, to the best of our knowledge, the combination of both procedures in the way described here, for spatially resolved ALD processes, has not been discussed in past literature. Optical lithography methods based on our proposed approach offer the potential for the formation of sharp patterns with sub-micrometer resolution. Our selective ALD procedure is simple. It consists of three sequential steps, as indicated schematically in figure 1: (1) an initial silylation of the oxide-terminated silicon substrate to block all hydroxide surface groups, which are believed to be the nucleation sites for many CVD and ALD processes [56–58]; (2) the spatially resolved removal of the silylation agents from the surface by using a combination of UV radiation and ozonolysis, to re-expose the OH surface groups; and (3) the build up of the desirable film via ALD, counting on the differential in reactivity between the silylated and UV/O3-reactivated areas of the surface. Below we identify the main parameters relevant to the optimization of our procedure, and evaluate the effectiveness of the silylationUV/ozonolysis approach for the selective growth of thin films using ALD.

Figure 1. Selective atomic layer deposition (ALD) scheme

developed in this study. The Si(100) substrate is first silylated to block all surface OH reactive groups. That step is then followed by selective removal of the resulting organic layer using a combination of UV radiation and ozonolysis. Subsequent ALD occurs preferentially at the surfaces treated with the UV-ozonolysis procedure.

2. Experimental details 2.1. Materials

The Si(100) samples were cut from commercial Si(100) wafers (Si-Tech) into ∼ 1 × 1 cm2 square pieces. The following chemicals were used as supplied: (a) Silylation agents: hexamethyldisilazane (HMDS, SigmaAldrich, 99.9% purity), trichloro(octadecyl) silane (ODTS, Sigma-Aldrich, ⩾90%), trimethylchlorosilane (TMCS, Aldrich-Sigma, ⩾99%). (b) ALD precursor: tetrakis(dimethylamido) hafnium(IV) (TDMAHf, [(CH3)2N]4Hf, Sigma-Aldrich, 99%). (c) Solvents and cleaning agents: acetone (Sigma-Aldrich, 99.5%), toluene (Macron Chemicals, HPLC grade, 99.5%), sulfuric acid (EMD Milipore, 98%), hydrogen peroxide (Fisher Scientific, Certified ACS, 30% in water), hydrochloric acid (Fisher Scientific, 37 w/w% in water), hydrofluoric acid (EMD Milipore, 48% in water). 2.2. Treatments 2.2.1. RCA cleaning [59].

(a) Nanostripper (NS) treatment with a 3 : 1 H2SO4 : H2O2 solution for 10 min (or 1 min in some of the experiments on surface roughness), followed by washing with Milli-Q water for 15 min. (b) Oxide removal with a 1 : 20 HF : H2O solution (by volume) for 5 min, followed by washing with water. (c) SC1 treatment with a mixture made out of 20 ml Milli-Q water, 5 ml H2O2, and 5 ml 30 wt% NaOH solution, T = 80 °C, 10 min. (d) SC2 treatment with a mixture made out of 20 ml Milli-Q water, 5 ml H2O2, and 5 ml 12% HCl solution, T = 80 °C, 10 min. 2

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

patterns on the surface; it is only meant to test the basic principles of our lithography approach. Either better masks or direct laser writing would be required to develop small surface patterns. 2.2.4. HfO2 ALD. ALD of HfO2 thin films were carried out

by using a commercial Savannah, Ultratech/Cambridge Nanotech instrument. The ALD cycles were set for sequential exposures to the TDMAHf and water precursors, with dry N2 purging in between, according to the following time sequence: TDMAHf/N2/H2O/N2 = 0.25 s/45 s/0.25 s/ 35 s. The TDMAHf precursor was kept at 75 °C and delivered using a bubbler (the gas lines were kept at 115 °C to avoid condensation), and N2 was used as a continuous carrier gas, at a rate of 20 sscm. The sample and chamber temperatures were set to either 110 or 250 °C. 2.3 Characterization 2.3.1. Attenuated total reflection (ATR)-IR absorption spectroscopy. ATR infrared absorption spectra were

acquired by using a Tensor 27 Bruker FTIR spectrometer with a deuterated triglycine sulfate detector and a commercial Harrick Scientific horizontal reflection Ge ATR semispherical accessory (GATR, 65° incidence angle). All spectra were taken by averaging 1024 scans taken at 4 cm−1 resolution. The spectra of the treated Si(100) samples were referenced to similar spectra obtained for the clean, non-derivatized, Si (100) surface.

Figure 2. Attenuated total reflection infrared absorption (ATR-IR) spectra from Si(100) surfaces first silylated with hexamethyldisilazane (HMDS) and then treated with a combination of ozonolysis and UV radiation. The traces correspond to, from bottom to top: pure HMDS (green), provided for reference; the Si(100) surface right after HMDS silylation (blue); the half of the silylated surface exposed to ozone only, by keeping it covered during UV radiation (purple); and the half exposed to both UV radiation and O3 (red). Silylation is evident by the peaks associated with methyl groups that develop at 1120 and 1257 cm−1. Those are removed by the UV/O3 treatment, but not by O3 alone.

Water contact angles. The hydrophobicity/ hydrophilicity of the surfaces was evaluated by measuring the contact angle of water droplets deposited on top of the flat horizontal surfaces using a Kruss Easy Drop instrument. Contact angles were calculated with an accuracy of 0.1° by the instrument’s software, but an overall measurement error of ±3° was estimated by averaging 6–12 measurements with different samples, newly prepared from the starting Si(100) wafers, to include any errors introduced in the preparation procedures.

2.3.2.

(e) Rinsing with Milli-Q water and drying in N2 flow. 2.2.2. Silylation.

(a) HMDS: Immersion in pure HMDS, dry N2 environment, T = 112 °C, 24 h; (b) ODTS: Immersion in 10 mM ODTS solution in toluene, dry N2 environment, room temperature, 48 h; (c) TMCS: Immersion in 5 vol% TMCS solution in toluene, dry N2 environment, room temperature, 24 h.

2.3.3. Atomic force microscopy (AFM). Surface roughness

was estimated by using AFM. A Nanoscope IIIa Digital Instrument was employed in tapping mode, using n-type silicon tips. Scanning was carried out at a rate of 1 Hz, typically over an area of 5 × 5 μm2 but also over smaller 1 × 1 μm2 when higher magnification was required. Surface roughness was estimated by calculating the root-mean-square (rms) variation in height over the entire area scanned.

2.2.3. UV/ozonolysis. UV radiation of the Si(100) wafers

were carried out in a Spectrolinker XL-1500 UV crosslinker instrument equipped with both its original λ (wavelength) = 254 nm lamp and an additional λ = 185 nm radiation source. UV power was set to 10 000 μW cm−2, and UV/O3 exposures of 900 and 1500 s were used for HMDS and ODTS silylated samples, respectively. The Si wafers were covered with a homemade Al mold to directly compare the behavior of samples exposed to ozone alone versus ozone plus UV radiation. Our mask can endure the UV/O3 treatments, but is not ideally suited to develop sharp spatial

X-ray photoelectron spectroscopy (XPS). The deposition of HfO2 was monitored by XPS using a Kratos analytical AXIS instrument equipped with a 165 mm mean radius semi-hemispherical electron energy analyzer and a 120-element delay line detector. A monochromatized Alanode x-ray source was used for the excitation, and an electron flood gun was employed as needed to compensate for

2.3.4.

3

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

sample charging. The Hf 4f and Si 2p data were acquired using a spectrometer constant pass energy of 20 eV, 0.1 eV energy steps, and a 200 ms dwell time. Film thicknesses were estimated by using an homogeneous layer model and exponential signal decay versus film thickness, using reported electron inelastic mean free paths [60].

Table 1. Data from water contact angle measurements on the Si(100) surface after different cleaning and silylation steps. High values reflect the hydrophobic character of the surface, low values its hydrophilicity. After standard SC1 and SC2 RCA cleaning, the native SiO2 layer exhibits high hydrophilicity due to the presence of hydroxo groups on the surface. However, those are blocked by methyl moieties upon silylation with HMDS, at which point the surface becomes hydrophobic. Treatment with HF removes both silylation and SiO2 layers, and produces a hydrophobic hydrogenterminated surface.

2.3.5. Ellipsometry. Film thicknesses were also estimated by

using a commercial Horiba Jobin Yvon Uvisel M200 instrument equipped with a 75 W Xenon lamp, with an 120–2200 nm spectral range.

Sample Si(100), Si(100), Si(100), Si(100), Si(100),

2.3.6. Scanning electron microscopy (SEM). Further surface

characterization was carried out via SEM using a Nova NanoSEM450 instrument with a in-lens SE/BSE detector (TLD), operated at an electron energy of 5 kV. Atomic composition was determined by using an integrated energy dispersive x-ray microanalysis (EDX) system from Oxford Instruments.

Contact angle/° (±3°) As Is SC1 + SC2 SC1 + SC2, HMDS SC1 + SC2, HMDS, HF HF

38 13 91 76 75

Silylation of silica surfaces blocks their hydroxo groups, and therefore makes them hydrophobic [39–41]. The progress of the hydrophobicity of Si(100) samples after each step of our cleaning and silylation treatments was followed by measuring the contact angle of water droplets on those surfaces. Typical results, for HMDS and averaged over several measurements, are reported in table 1. The original untreated Si (100) surface exhibits intermediate hydrophobicity and mid values in terms of water contact angles (approximately 37°), but its hydrophilicity increases significantly upon cleaning following a established RCA procedure [64, 65], at which point the water contact angle decreases to ∼13°. Subsequent silylation converts this hydrophilic substrate into a hydrophobic surface; the water contact angles after such treatment with HMDS and ODTS were measured to be ∼91° and ∼110°, respectively [66]. Finally, treatment of the HMDSsilylated surface with a solution of HF reduces the contact angle to a new value of ∼76°. Given that this is the same value obtained upon direct HF treatment of the original Si (100) wafer (75°) [66], it is likely that the HF treatment strips the silylation layer from the surface and creates a new hydrogen-terminated silicon substrate. All these results are consistent with previous reports in the literature [64–66]. The hydrophilicity of the silylated Si(100) wafers can be restored via the removal of the organic layer using a UV/ ozonolysis treatment. Examples of the changes in contact angles induced by such treatment for HMDS-(left) and ODTS-(right) treated Si(100) surfaces as a function of time are shown in the pictures provided in figure 3. Each picture shows two water droplets, one for each of the two halves of the wafer: the right side, which was exposed to the full UV/O3 treatment, and the left side, where the UV radiation was blocked and the surface only exposed to ozone. These images offer clear visual evidence for the need to add UV radiation to the ozonolysis treatment to modify the surface and regain the original hydrophilicity. Full restoration of the hydrophilicity of the clean surface took, under the conditions used in our experiments, approximately half an hour. Figure 4 summarizes the kinetics of these procedures in the form of plots of contact angles versus time for surfaces

3. Results and discussion The evolution of the surface of the Si(100) wafers after the silylation and O3/UV-ozonolysis steps was followed by infrared absorption spectroscopy. Figure 2 displays key ATRIR traces obtained after each of those steps for the case of surface derivatization using HMDS. After the HMDS treatment, silylation becomes evident by the development of a peak at 1257 cm−1 due to the symmetric deformation of the methyl group in the newly formed Si–CH3 surface species (blue trace, second from bottom) [61]. That feature does overlap with the SiO2 LO mode at 1251 cm−1 [62], but is nevertheless identifiable by its clear broadening and shift upon silylation. In addition, the reaction of the HMDS with the surface is indicated by the disappearance of the peaks at 945 and 1184 cm−1 due to the symmetric Si–N–Si stretching and N–H deformation modes of that molecule, respectively [61, 63]. The former feature is replaced by a broad peak at 1120 cm−1 associated with the Si–O–CH3 moiety. The methyl groups in the silylated surface appear to survive intact upon exposure to ozone, as the IR trace for the sample exposed to O3 but not to UV radiation (purple, second from top) is quite similar to that recorded for the surface before treatment; the main features there, namely, the broad feature at 1120 cm−1 from the Si–O–CH3 moiety and the double 1251 + 1257 cm−1 peak from the SiO2 LO and CH3 deformation modes, respectively, remain the same. On the other hand, the spectra from the sample treated with both O3 and UV radiation (red, top trace) only retains the sharp peak from the SiO2 substrate at 1251 cm−1; the features at 1257 and 1120 cm−1 associated with the surface methyl groups are no longer visible, an indication that the organic matter has been removed from the surface. Similar complete elimination of all adsorbed organic matter upon UV/ozonolysis of the surface was seen in analogous ATR-IR experiments with ODTS (data not shown). 4

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

Contact Angle Measurements Versus UV/O3 Treatment Time UV 0 mins on HMDS-Si

UV 0 mins on ODTS-Si

UV 5 mins on HMDS-Si

UV 5 mins on ODTS-Si

UV 25 mins on HMDS-Si

UV 25 mins on ODTS-Si

Figure 4. Summary of contact angle data obtained as a function of

UV/O3 exposure time for the original Si(100) (right panel) substrate and for Si(100) surfaces silylated with TMCS (trimethylchlorosilane, also right panel) and with HMDS and ODTS (left panel). Two sets of data are shown in each case, for surfaces exposed to O3 alone and to the UV/O3 combination, respectively. The trends observed are qualitatively similar with all the silylation agents tested in this work: the initial high hydrophobicity of the silylated surface, manifested by contact angles around 90–110°, is removed after ∼30 min of UV/O3 treatment, at which point the surfaces become highly hydrophilic (contact angles ∼ 10–15°). In contrast, no changes in behavior were seen on the surfaces where the UV radiation was blocked.

Covered UV Exposed Covered UV Exposed Figure 3. Pictures of water droplets on Si(100) surfaces silylated

with either HMDS (left) or trichloro(octadecyl)silane (ODTS, right) as a function of time of exposure to our UV/O3 treatment. The left half of these surfaces was covered to prevent direct exposure to the UV radiation. It can be seen that the initial silylated surfaces are quite hydrophobic, and also that their silylation layer can be removed in approximately 1/2 h by treatment with a combination of UV and O3 (but not by O3 alone), a process that returns the hydrophilicity of the SiO2 native film.

exposure to UV radiation of different wavelengths, namely, λ = 254, 185, and 254 + 185 nm. It has been established that of the two, only the λ = 185 nm produces ozone, via activation of atmospheric oxygen [43, 68]. In fact, the λ = 254 nm not only does not produce ozone, but in fact decomposes any existing O3 in the gas phase to produce reactive oxygen atoms [43]. The images in figure 5 show that the short wavelength (λ = 185 nm) radiation is required to activate the silylated Si (100) surfaces, which means that O3 is needed for the removal of the organic surface layer. In addition, it was realized that although the silylation removal process is feasible with λ = 185 nm alone, it is more efficient with the combination of both UV sources (the surface becomes more hydrophilic, as shown by the comparison between the center and bottom images on the right side of figure 5), and not viable without any direct UV radiation (figures 3 and 4). This means that UV radiation is required for the process to work as well, since the half of the wafer protected from direct exposure to the light is still exposed to the ozone made in the gas phase. It should be mentioned that our instrument does not provide us with the means to determine the concentration of O3 used in these treatments, and therefore does not allow us to preclude the possibility of the removal of the silylation layer under more extreme ozonolysis conditions. Nevertheless, what is clear is that, under similar ozone concentrations, the addition of the UV radiation leads to the difference between ending up with passivated versus activated surfaces. The most likely scenario is one where the λ = 185 nm radiation produces gas-phase

exposed to either O3 alone or UV/O3 combinations. Data are provided for the untreated Si(100) surface as well as for surfaces silylated with HMDS, ODTS, and TMCS. Small differences are seen among the different silylation agents in terms of the initial contact angles and the rate at which the silylation layers are removed, but the general trends are similar in all cases. The ODTS does provide the best option (among the silylation agents tested here) for high initial hydrophobicity and higher resistance to the UV/O3 treatment, but the differences with HMDS are not large. A more extensive study of the effect of the nature of the silylation compound as deactivating agent for ALD has been reported in the literature already [67]; the important extension to the previously available data provided here is the behavior of those layer upon O3 and UV/O3 treatments. The data in figures 3 and 4 indicate that UV radiation is required for our treatment to remove the silylation agent from the Si(100) surface and to increase its hydrophilicity. Additional experiments were carried out to assess the role of the ozone. Figure 5 shows images of water droplets on HMDSsilylated Si(100) surfaces before (left) and after (right) 5

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

Contact Angle Measurements Effect of wavelength on UV/O3 254 nm, 0 min

Table 2. Atomic force microscopy root-mean-square (AFM rms)

roughness data from Si(100) surfaces after different cleaning, silylation, and UV/O3 treatments. Nanostripping (NS) of the surface slowly increases its roughness, but limited exposures can still be used for cleaning without major alterations. The addition of an ODTS layer adds to the roughness, most likely because of the softness and disorder of the hydrocarbon chains, but the initial smoothness is regained upon its removal via a UV/O3 treatment.

254 nm, 35 min

Roughness, rms/ Å (±0.1 Å)

Sample 185 nm 0 min

185 & 254 nm 0 min

Si(100) native Si(100) nanostripper Si(100) nanostripper Si(100) nanostripper Si(100) nanostripper Si(100) nanostripper UV-O3 30 min

185 nm, 35 min

1 min 10 min 1 min ODTS 10 min ODTS 10 min ODTS

1.4 1.1 2.4 5.1 3.7 2.7

185 & 254 nm, 35 min

exposed to 1 min of nanostripping, and from 2.4 to 3.7 Å in the case of 10 min nanostripping. However, it is known that silylation only builds up one monolayer of the silylation agent on the surface, and that the apparent increase in roughness is due to either disorder or ‘softness’ within that organic layer; the increase in surface roughness seen here is in fact smaller than what has been reported before [71]. Moreover, the silylated surfaces regain their original degree of smoothness after removal of the organic layer with our UV/O3 treatment: the 10 min nanostripped Si(100) surface, which displayed AFM rms roughness of 2.4 Å before ODTS silylation and 3.7 Å after ODTS silylation, returned to an AFM rms roughness of 2.7 Å after UV/ozonolysis. We believe that our procedure is sufficiently mild to avoid significant etching of the underlying silicon substrate. Lastly, the chemical selectivity of the silylation + UV/O3 treated Si(100) surfaces toward ALD of HfO2 thin films was tested. Selected Hf 4f XPS data acquired as a function of ALD cycles at 110 °C for Si(100) surfaces silylated with HMDS are shown in figure 6. These surfaces were treated with the UV/O3 procedure prior to the HfO2 ALD, with one half covered to prevent its direct exposure to the UV radiation. The traces corresponding to the surface exposed to the UV/O3 treatment show Hf 4f7/2 peaks centered at a binding energy of 17.5 eV, a value typical of HfO2, and the doublets expected from Hf 4f spin splitting [72], and their signal intensities grow with increasing number of ALD cycles, indicating Hf deposition. By contrast, no Hf deposition is seen on the covered side of the sample, the one not exposed to UV radiation during ozonolysis. As indicated before, this prevents the silylation layer from been removed (the half exposed to the UV radiation is cleaned from all organic matter on the surface, and has the native SiO2 surface re-exposed for reaction). The differences in ALD deposition seen between the two halves of the silicon substrate indicate that the HMDS-based layer is effective in inhibiting the deposition of the HfO2 layer. The ALD growth rates on Si(100) surfaces silylated with either HMDS or ODTS and then treated with either O3 alone

Figure 5. Pictures of water droplets on Si(100) surfaces silylated

with HMDS before (left) and after (right) 35 min UV/O3 treatments. Images are shown for experiments carried out by using ultraviolet light of different wavelengths, namely, 254 nm (top), 185 nm (center), and a combination of both (bottom). The data indicate that the 185 nm radiation is indispensible for the removal of the silylation layer from the surface, to regain its hydrophilicity, and that the combination of the two wavelengths enhances the removal of the organic layer.

ozone and the λ = 254 nm decomposes that ozone to produce the atomic oxygen species that react with the organic layers on the Si(100) surfaces and/or activates those layers directly, as suggested in similar systems in the past [45, 51, 69, 70]. The requirement of direct UV exposure makes the UV/O3 treatment amenable to spatial patterning. One concern with patterning silicon surfaces by using chemical means is that such treatments can lead to surface etching and/or surface roughening. This is certainly the case with aggressive treatments involving strong acids or bases. The changes in surface roughness induced by our silylation plus UV/ozonolysis procedure were followed by AFM. Table 2 summarizes the key results obtained with ODTS, in the form of rms variations in surface height measured by AFM after each step of the surface treatment. It was found that the original, untreated, Si(100) wafers exhibit an AFM rms roughness of approximately 1.4 Å, and that nanostripping of that surface (to clean it of spurious adsorbates) does not add much roughness, although the quality of the surface does deteriorates eventually if long exposure times are used (an AFM rms roughness of ∼2.4 Å was measured for surfaces nanostripped for 10 min). On the other hand, silylation of the nanostripped surfaces leads to clear increases in measured AFM rms roughness: with ODTS, the AFM rms roughness was seen to change from 1.1 to 5.1 Å on Si(100) surfaces 6

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

Figure 7. Average thickness of HfO2 films grown on Si(100) via ALD as a function of the number of ALD cycles used, estimated from Hf 4f and Si 2p XPS data by assuming layer-by-layer growth and published electron inelastic mean free paths. Two surfaces were tested here, silylated with either HMDS (left panel) or ODTS (right), and two sets of data are shown for each case, for the two halfsurfaces exposed to O3 alone and to the UV/O3 combination, respectively. In addition, HfO2 uptakes are reported for ALD experiments carried out at two temperatures, 110 and 250 °C, in each case. Much faster film growth is seen on the surface treated with the full UV/O3 procedure, and total inhibition is seen with the silylated surfaces for ALD at 110 °C.

Figure 6. Hf 4f x-ray photoelectron spectra (XPS) from HMDS-

silylated Si(100) surfaces after the growth of HfO2 films via TDMAHf + H2O ALD at 110 °C. Two sets of data are reported, from surfaces treated with O3 alone (left), and with the UV/O3 combination (right). The XPS peak positions indicate the formation of fully oxidized HfO2 films, and the increase in signal intensities with increasing number of ALD cycles for the UV/O3-treated surface point to the continuous growth of the oxide film. In contrast, no HfO2 at all is deposited on the silylated surface not directly exposed to the UV radiation during ozonolysis.

(covered half) or UV/O3 (exposed half) were quantified by processing the signal intensities from the Hf 4f and Si 2p XPS spectra and estimating the film thicknesses by assuming layerby-layer growth and published electron inelastic mean free paths [60]. The resulting HfO2 uptake curves are reported in figure 7 for two ALD temperatures, 110 and 250 °C. Clearly, the surfaces cleaned with UV/O3 display significantly faster rates of HfO2 deposition than those exposed to O3 alone, as mentioned above. This is true in both cases, with either HMDS or ODTS as the silylation agent. Indeed, both samples treated with UV/O3 display comparable film growth rates, approximately 0.5 and 0.8 Å/ALD cycle at 110 and 250 °C, respectively, and the same, within experimental error, as those measured on the original oxide-covered silicon wafer (data not shown). By contrast, the surfaces exposed to O3 only are totally passivated and show no HfO2 deposition at all at 110 °C. The fact that ozonolysis alone does not affect the silylation layer was also checked directly by XPS. In particular, the C 1s and O 1s XPS traces recorded for the silylated Si(100) wafers before and after ozonolysis looked the same, within experimental error; no indication of partial oxidation of the organic layer was ever seen in those data (not shown). It is interesting to note that if the ALD is carried out at 250 °C, some HfO2 deposition is seen even on the silylated surface: the final HfO2 layers on the covered side of the wafer after 30 ALD cycles at 250 °C are approximately 8 Å thick on average (as oppose to ∼25 Å on the surfaces cleaned by UV/ O3). Also, there seem to be an induction period before

deposition starts in the early ALD cycles in those cases. It would appear that the silylation layer is not fully stable at such high ALD temperatures, and may partially decompose and open up nucleation sites for ALD growth. SEM images indicate that this is indeed the case. An example is presented in figure 8, for a HMDS-silylated Si(100) surface first treated with our UV/O3 procedure and then exposed to 30 HfO2 ALD cycles. The bottom image, which corresponds to a SEM image of the surface, shows the formation of small (2–3 nm) nanoparticles on the surface, whereas the data on the top, from EDX of the nanoparticles (left) and of the rest of the surface (right), indicate that those nanoparticles are composed of HfO2. Only a few dispersed nanoparticles were detected on the surfaces not exposed to the UV radiation. Thick and contiguous HfO2 films are obtained at both 110 and 250 °C after extensive ALD growth (∼300 cycles), after which no signal from the silicon substrate can be detected in the Si 2p XPS data. Additional estimates of film thicknesses after each step, namely, after silylation, UV/ozonolysis (or ozonolysis without UV radiation), and 30-cycle HfO2 ALD, were obtained by ellipsometry for the case of HMDS as the silylation agent. The amplitude and phase difference of the complex reflectance ratio as a function of photon energy, in the range between 1.5 and 4.2 eV, were fit to a model consisting of SiO2/HMDS/HfO2 stacked layers. The resulting data are summarized in table 3. The native SiO2 layer in the initial Si (100) sample was estimated to be approximately 18.1 ± 0.1 Å 7

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

native silicon oxide film that forms on silicon wafers upon their handling under atmospheric environments. These films contain hydroxo surface groups capable of covalently reacting with a number of metallorganic compounds, facilitating their dissociative adsorption to initiate chemically based film deposition processes. They also increase the hydrophilicity of the surface, a property that was evaluated here by measuring the contact angle between the surface and a droplet of water deposited on top. Our work corroborated known behavior of these surfaces upon standard cleaning and surface-processing procedures. First, it was shown that their hydrophilicity may be enhanced by using reported RCA cleaning steps, a treatment that presumably increases the surface concentration of hydroxo moieties. Conversely, hydrophobicity can be introduced via silylation with any of several agents, of which HMDS, ODTS, and TMCS were tested here. The contact angle increases after this treatment because of the blocking of the OH groups by alkyl fragments, as corroborated in our infrared absorption spectroscopy study with HMDS. The organic layer was next shown to be removable by using a combination of ozonolysis and UV radiation. Both O3 and UV of 185 nm wavelength were shown to be required for this process, and a combination of 185 and 254 nm radiation was shown to enhance the silylation layer removal. Also, because of the ‘softness’ of the organic layer, the surface roughness was determined to increase somewhat after silylation, but the original smoothness was restored once that layer is removed via UV/ ozonolysis. The UV/O3 treatment of the silylated Si(100) wafers returns their original hydrophilicity, and with that their chemical reactivity. This was tested by evaluating the rate of growth of HfO2 films via ALD using TDMAHf and water. It was found that the silylated layer does completely block the film growth, especially in the early cycles of the deposition. Some HfO2 deposition could still be detected on the silylated side if ALD was carried out at high temperatures, however, most likely because of the partial decomposition of the silylation layer and the formation of nucleation sites on the surface, as suggested by SEM. It should be said that the initial test used for the effectiveness of our procedure to achieve selective ALD, the growth of HfO2 films on SiO2/Si(100) surfaces, may not be a good choice for microelectronic applications. Future work will be directed to test the deposition of metals such as Cu for interconnects, a case for which selectivity in deposition may be simpler to achieve, and of high k dielectrics such as HfO2 on H-terminated silicon substrates, for which hydrosilylation may be required. Moreover, high-resolution surface patterning would need to be tested with better setups, either high resolution masking procedures or direct laser writing. Overall, though, the sequence of silylation and UV/ozonolysis treatments described here promises to provide an easy way to process silicon surfaces with spatial resolution for the selective deposition of solid films.

Figure 8. Scanning electron microscopy image (SEM, bottom) and

energy dispersive x-ray microanalysis data (EDX, top) from a Si (100) surface silylated with HMDS, processed using a UV/O3 treatment, and exposed to 30 cycles of HfO2 ALD. The initiation of the ALD process at surface nucleation sites is evidenced by the detection of small HfO2 nanoparticles, the identity of which were corroborated by the detection of Hf and O in the EDX data on the top, left image (from the particles) but not on the top, right panel (from the flat surface).

in thickness, consistent with Si 2p and O 1s XPS data acquired in these studies for the initial substrates (not shown), and the organic layer grown upon HMDS silylation was estimated to add another 6.9 ± 0.1 Å. As can be seen in table 3, the side of the silylated sample that was kept covered during the UV/ozonolysis process was not affected by any of the subsequent steps of our treatment, retaining both SiO2 and HMDS-based layers basically intact, and showing virtually no HfO2 uptake during the ALD step (a HfO2 film thickness of 0.1 ± 0.1 Å was calculated in this case). On the other hand, the other half of the HMDS-treated Si(100) substrate, the one exposed to the full UV + O3 treatment, was fully cleaned of the HMDS-based layer, and show a small increase in the thickness of the SiO2 layer (to a value of 22.0 ± 0.1 Å). ALD on those surfaces resulted in the deposition of a 8.9 ± 0.1 Å thick HfO2 film after 30 cycles, a value somewhat smaller but in qualitative agreement with the XPS data.

4. Conclusions A simple procedure has been tested for the processing of silicon wafers in order to modify their surface chemistry, in particular their reactivity toward the metalorganic precursors used in the chemical deposition of thin solid films. The starting surface typically consists of the thin (∼1–2 nm thick)

8

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

Table 3. Average film thicknesses in Å, estimated from ellipsometry measurements, for Si(100) surfaces right after silylation with HMDS,

UV/O3 treatment, and a 20 cycle HfO2 ALD. Two sets of data are provided, for the halves of the substrate covered and exposed to the UV radiation during UV/ozonolysis, respectively. The raw data were fitted to a model consisting of three flat and smooth layers, reported in the different rows of the table: the underlying SiO2 substrate, the HMDS-based silylation layer, and the ALD-grown HfO2. The behavior seen here qualitatively matches that extracted from the XPS studies. Thickness in Å (±0.1 Å)

Covered, after

Exposed to UV/O3, after

Layer

HMDS

UV/O3

ALD

HMDS

UV/O3

ALD

SiO2 HMDS HfO2

18.1 6.9 —

16.8 7.4 —

18.2 6.6 0.1

18.1 6.9 —

22.0 0.0 —

21.0 0.0 8.9

Acknowledgments

[21] Zabetakis D and Dressick W J 2009 ACS Appl. Mater. Interfaces 1 4 [22] Prasittichai C, Zhou H and Bent S F 2013 ACS Appl. Mater. Interfaces 5 13391 [23] Bao J-Q, Wang Q, Liu X and Ding L 2008 Surf. Sci. 602 2250 [24] Park E J, Carroll G T, Turro N J and Koberstein J T 2009 Soft Matter 5 36 [25] Lu P, Shi Z and Walker A V 2011 Langmuir 27 13022 [26] Lin M-H, Chen C-F, Shiu H-W, Chen C-H and Gwo S 2009 J. Am. Chem. Soc. 131 10984 [27] George A, Maijenburg A W, Maas M G, Blank D H A and ten Elshof J E 2011 Langmuir 27 12235 [28] Aureau D, Morscheidt W, Etcheberry A, Vigneron J, Ozanam F, Allongue P and Chazalviel J N 2009 J. Phys. Chem. C 113 14418 [29] Yun D-J and Rhee S-W 2010 J. Electrochem. Soc. 157 H349 [30] Unger W E S, Lippitz A, Gross T, Friedrich J F, Wöll C and Nick L 1999 Langmuir 15 1161 [31] Chen G-S, Chen S-T, Chen Y W and Hsu Y-C 2013 Langmuir 29 511 [32] Lercel M J, Whelan C S, Craighead H G, Seshadri K and Allara D L 1996 J. Vac. Sci. Technol. B 14 4085 [33] Lee W and Prinz F B 2009 J. Electrochem. Soc. 156 G125 [34] Park K J, Doub J M, Gougousi T and Parsons G N 2005 Appl. Phys. Lett. 86 051903 [35] Färm E, Kemell M, Ritala M and Leskelä M 2006 Chem. Vapor Depos. 12 415 [36] Sinha A, Hess D W and Henderson C L 2006 J. Electrochem. Soc. 153 G465 [37] Liu J, Mao Y, Lan E, Banatao D R, Forse G J, Lu J, Blom H-O, Yeates T O, Dunn B and Chang J P 2008 J. Am. Chem. Soc. 130 16908 [38] Jiang X and Bent S F 2009 J. Phys. Chem. C 113 17613 [39] Deyhimi F and Coles J A 1982 Helv. Chim. Acta 65 1752 [40] Flinn D H, Guzonas D A and Yoon R H 1994 Colloids Surf. A 87 163 [41] Schmohl A, Khan A and Hess P 2004 Superlattices Microstruct. 36 113 [42] Hong J and Zaera F 2012 J. Am. Chem. Soc. 134 13056 [43] Vig J R 1985 J. Vac. Sci. Technol. A 3 1027 [44] Hook D A, Olhausen J A, Krim J and Dugger M T 2010 J. Microelectromech. Syst. 19 1292 [45] Chen S-F, Chang C-Y and Ku Y-C 2010 Proc. of SPIE 7639: Advances in Resist Materials and Processing Technology XXVII 76391A [46] Alam E A et al 2011 J. Appl. Phys. 109 084511 [47] Uosaki K, Quayum M E, Nihonyanagi S and Kondo T 2004 Langmuir 20 1207 [48] McIntire T M, Ryder O and Finlayson-Pitts B J 2009 J. Phys. Chem. C 113 11060 [49] Ouyang M, Yuan C, Muisener R J, Boulares A and Koberstein J T 2000 Chem. Mater. 12 1591 [50] Egitto F D and Matienzo L J 2006 J. Mater. Sci. 41 6362

The initial experiments for this project were financed by seed funds from the Semiconductor Research Corporation. The project was then continued using a grant from the US Department of Energy, Office of Science, Basic Energy Sciences, Materials Sciences and Engineering (MSE) Division, under Award Number DE-FG02-03ER46599. The XPS instrument used in this research was acquired with funds from the US National Science Foundation, Grant DMR-0958796. We would like to thank Professor Umar Mohideen for lending us the UV/ozonolysis apparatus.

References [1] Guo L J 2004 J. Phys. D 37 R123 [2] Biswas A, Bayer I S, Biris A S, Wang T, Dervishi E and Faupel F 2012 Adv. Colloid Interface Sci. 170 2 [3] Kim J and Kim T 2009 JOM 61 17 [4] George S M 2010 Chem. Rev. 110 111 [5] Levitin G and Hess D W 2011 Annu. Rev. Chem. Biomolec. Eng. 2 299 [6] Zaera F 2012 J. Phys. Chem. Lett. 3 1301 [7] Hämäläinen J, Ritala M and Leskelä M 2013 Chem. Mater. 26 786 [8] Rickerby J and Steinke J H G 2002 Chem. Rev. 102 1525 [9] Bhatnagar A, Naik M, Ramaswami S, Spuller M, Armacost M, Perry R, Van Gogh J, Shu J and Miner G 2009 Solid State Technol. 52 16 [10] Gambino J P 2011 ECS Trans. 35 687 [11] Jeong J, Jun Lee G, Kim J and Choi B 2012 Appl. Phys. Lett. 100 112109 [12] Chen Y and Pépin A 2001 Electrophoresis 22 187 [13] Gates B D, Xu Q, Stewart M, Ryan D, Willson C G and Whitesides G M 2005 Chem. Rev. 105 1171 [14] Innocenzi P, Kidchob T, Falcaro P and Takahashi M 2007 Chem. Mater. 20 607 [15] Levy D H, Nelson S F and Freeman D 2009 J. Disp. Technol. 5 484 [16] Ellinger C R and Nelson S F 2014 Chem. Mater. 26 1514 [17] Rai-Choudhury P (ed) 1997 Handbook of Microlithography, Micromachining, and Microfabrication: Microlithography. Volume 1: Microlithography (Bellingham, WA: SPIE Optical Engineering Press/The Institution of Electrical Engineers) [18] Pease R F and Chou S Y 2008 Proc. IEEE 96 248 [19] Childs W R, Motala M J, Lee K J and Nuzzo R G 2005 Langmuir 21 10096 [20] Sugimura H, Lee K-H, Sano H and Toyokawa R 2006 Colloids Surf. A 284–285 561 9

Nanotechnology 25 (2014) 504006

L Guo and F Zaera

[63] Nakamura K, Nonaka H, Kameda N, Nishiguchi T and Ichimura S 2008 J. Vac. Soc. Japan 51 224 [64] Hermansson K, Lindberg U, Hok B and Palmskog G 1991 Transducers’91 Int. Conf. on Solid-State Sensors and Actuators (San Francisco, CA, USA, June 24–28) 193–5 [65] Franssila S 2010 Introduction to Microfabrication (New York: Wiley) [66] Maccarini M, Himmelhaus M, Stoycheva S and Grunze M 2005 Appl. Surf. Sci. 252 1941 [67] Chen R, Kim H, McIntyre P C and Bent S F 2005 Chem. Mater. 17 536 [68] Calvert J G, James J N and Pitts N Jr 1966 Photochemistry (New York: Wiley) [69] Clark T, Ruiz J D, Fan H, Brinker C J, Swanson B I and Parikh A N 2000 Chem. Mater. 12 3879 [70] Chou M-S and Chang K-L 2007 Chemosphere 69 697 [71] Pillai S and Pai R K 2009 Ultramicroscopy 109 161 [72] Renault O, Samour D, Damlencourt J-F, Blin D, Martin F, Marthon S, Barrett N T and Besson P 2002 Appl. Phys. Lett. 81 3627

[51] Nakamura K, Nonaka H, Kameda N, Nishiguchi T and Ichimura S 2008 Japan. J. Appl. Phys. 47 7349 [52] Heo J, Lee S Y, Eom D, Hwang C S and Kim H J 2008 Electrochem. Solid State Lett. 11 G5 [53] Hollinger G, Gallet D, Gendry M, Besland M P and Joseph J 1991 Appl. Phys. Lett. 59 1617 [54] Whelan C M, Le Q T, Cecchet F, Satta A, Pireaux J J, Rudolf P and Maex K 2004 Electrochem. Solid State Lett. 7 F8 [55] Heo J, Eom D and Kim H J 2007 Microelectron. Eng. 84 2188 [56] Matero R, Rahtu A, Ritala M, Leskelä M and Sajavaara T 2000 Thin Solid Films 368 1 [57] Kelly M J, Han J H, Musgrave C B and Parsons G N 2005 Chem. Mater. 17 5305 [58] Zaera F 2013 Coord. Chem. Rev. 257 3177 [59] Kern W 1990 J. Electrochem. Soc. 137 1887 [60] Seah M P and Dench W A 1979 Surf. Interface Anal. 1 2 [61] Keinosuke H and Hirofumi M 1983 Spectrosc. Lett. 16 717 [62] Queeney K T, Herbots N, Shaw J M, Atluri V and Chabal Y J 2004 Appl. Phys. Lett. 84 493

10

ozonolysis.

A simple procedure has been developed for the processing of silicon wafers in order to facilitate the spatially resolved growth of thin solid films on...
2MB Sizes 0 Downloads 6 Views